OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [agents/] [ethernet/] [tb_eth_top.v] - Diff between revs 57 and 76

Show entire file | Details | Blame | View Log

Rev 57 Rev 76
Line 22... Line 22...
|    tb_tasks.v                                                    |
|    tb_tasks.v                                                    |
|    tb_pktgn.v                                                    |
|    tb_pktgn.v                                                    |
\-----------------------------------------------------------------*/
\-----------------------------------------------------------------*/
 
 
 
 
`timescale 1ns/100ps
 
 
 
`include "tb_eth_conf.v"
`include "tb_eth_conf.v"
`include "tb_eth_defs.v"
`include "tb_eth_defs.v"
 
 
module tb_eth_top(
module tb_eth_top(

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.