OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [agents/] [ethernet/] [tb_mii.v] - Diff between revs 15 and 76

Show entire file | Details | Blame | View Log

Rev 15 Rev 76
Line 11... Line 11...
|  Instantiated modules: none                                      |
|  Instantiated modules: none                                      |
|  Included files: tb_conf.v                                       |
|  Included files: tb_conf.v                                       |
\-----------------------------------------------------------------*/
\-----------------------------------------------------------------*/
 
 
 
 
`timescale 1ns/100ps
 
 
 
`include "tb_eth_conf.v"
`include "tb_eth_conf.v"
 
 
module tb_mii(
module tb_mii(
                 port_type,   // includes duplex status and speed
                 port_type,   // includes duplex status and speed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.