OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [model/] [oc8051_xram.v] - Diff between revs 50 and 61

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 50 Rev 61
Line 82... Line 82...
output ack;
output ack;
 
 
reg ackw, ackr;
reg ackw, ackr;
reg [31:0] data_out;
reg [31:0] data_out;
reg [2:0] cnt;
reg [2:0] cnt;
 
integer i;
//
//
// buffer
// buffer
reg [7:0] buff [65535:0];  //64kb
reg [7:0] buff [65535:0];  //64kb
//reg [7:0] buff [8388607:0];  //8Mb
//reg [7:0] buff [8388607:0];  //8Mb
 
 
assign ack =  ackw || ackr;
assign ack =  ackw || ackr;
 
 
 
 
 
// Intialise the memory
 
 
 
initial
 
begin
 
  for (i=0; i<65536; i=i+1)
 
    buff [i] = 8'h00;
 
end
 
 
//
//
// writing to ram
// writing to ram
always @(posedge clk or posedge rst)
always @(posedge clk or posedge rst)
begin
begin
  if (rst)
  if (rst)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.