OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [run/] [compile.modelsim] - Diff between revs 59 and 71

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 59 Rev 71
Line 3... Line 3...
if(! -e work) then
if(! -e work) then
   vlib work
   vlib work
endif
endif
 
 
vlog -work work +define+SFLASH_SPDUP \
vlog -work work +define+SFLASH_SPDUP \
 
+incdir+../../rtl/defs \
-v ../../rtl/lib/registers.v \
-v ../../rtl/lib/registers.v \
-v ../../rtl/lib/stat_counter.v \
-v ../../rtl/lib/stat_counter.v \
-v ../../rtl/lib/toggle_sync.v \
-v ../../rtl/lib/toggle_sync.v \
-v ../../rtl/lib/double_sync_low.v \
-v ../../rtl/lib/double_sync_low.v \
-v ../../rtl/lib/async_fifo.v  \
-v ../../rtl/lib/async_fifo.v  \

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.