OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [tb/] [tb_tasks.v] - Diff between revs 28 and 50

Show entire file | Details | Blame | View Log

Rev 28 Rev 50
Line 4... Line 4...
   reg_cs  = 0;
   reg_cs  = 0;
   reg_be  = 4'h0;
   reg_be  = 4'h0;
end
end
 
 
task cpu_read;
task cpu_read;
  input  [2:0] block_id; // 1/2/3 --> mac/spi/uart
  input  [2:0] block_id;
  input  [15:0] address;
  input  [15:0] address;
  output [31:0] read_data;
  output [31:0] read_data;
  begin
  begin
      @(posedge app_clk);
      @(posedge app_clk);
      if(block_id == 1) reg_id  = `ADDR_SPACE_MAC;
      if(block_id == 1) reg_id  = `ADDR_SPACE_MAC;
      if(block_id == 2) reg_id  = `ADDR_SPACE_SPI;
      if(block_id == 2) reg_id  = `ADDR_SPACE_SPI;
      if(block_id == 3) reg_id  = `ADDR_SPACE_UART;
      if(block_id == 3) reg_id  = `ADDR_SPACE_UART;
 
      if(block_id == 4) reg_id  = `ADDR_SPACE_RAM;
      reg_cs = 1;
      reg_cs = 1;
      reg_wr = 0;
      reg_wr = 0;
      reg_be = 4'hF;
      reg_be = 4'hF;
      reg_addr = address;
      reg_addr = address;
      @(posedge reg_ack);
      @(posedge reg_ack);
Line 40... Line 41...
      reg_wr = 1;
      reg_wr = 1;
      reg_be = 4'hF;
      reg_be = 4'hF;
      reg_addr = address;
      reg_addr = address;
      reg_wdata = write_data;
      reg_wdata = write_data;
      @(posedge reg_ack);
      @(posedge reg_ack);
      reg_wr = 0;
 
      @(posedge app_clk);
      @(posedge app_clk);
      reg_cs  = 0;
      reg_cs  = 0;
 
      reg_wr = 0;
  end
  end
endtask
endtask
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.