URL
https://opencores.org/ocsvn/turbo8051/turbo8051/trunk
[/] [turbo8051/] [trunk/] [verif/] [tb/] [tb_top.v] - Diff between revs 76 and 79
Show entire file |
Details |
Blame |
View Log
Rev 76 |
Rev 79 |
Line 390... |
Line 390... |
$fsdbDumpon;
|
$fsdbDumpon;
|
end
|
end
|
end
|
end
|
`endif
|
`endif
|
|
|
initial begin //{
|
/***
|
$display ("--> Dumpping the design");
|
initial begin //{
|
$shm_open("simvision.shm");
|
$display ("--> Dumpping the design");
|
$shm_probe("AC");
|
$shm_open("simvision.shm");
|
end //}
|
$shm_probe("AC");
|
|
end //}
|
|
***/
|
|
|
|
initial begin
|
|
$dumpfile("simx.vcd");
|
|
$dumpvars(0, tb_top);
|
|
end
|
|
|
|
|
|
|
initial begin
|
initial begin
|
|
|
if ( $test$plusargs("INTERNAL_ROM") ) begin
|
if ( $test$plusargs("INTERNAL_ROM") ) begin
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.