OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [tb/] [tb_top.v] - Diff between revs 50 and 52

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 50 Rev 52
Line 407... Line 407...
  `TB_GLBL.init;
  `TB_GLBL.init;
   #1000 wait(reset_out_n == 1);
   #1000 wait(reset_out_n == 1);
 
 
   if ( $test$plusargs("gmac_test_1") )
   if ( $test$plusargs("gmac_test_1") )
       gmac_test1();
       gmac_test1();
 
   else if ( $test$plusargs("gmac_test_2") )
 
       gmac_test2();
   else if ( $test$plusargs("uart_test_1") )
   else if ( $test$plusargs("uart_test_1") )
       uart_test1();
       uart_test1();
   else if ( $test$plusargs("spi_test_1") )
   else if ( $test$plusargs("spi_test_1") )
       spi_test1();
       spi_test1();
   else begin
   else begin
Line 446... Line 448...
 
 
 
 
 
 
 
 
`include "gmac_test1.v"
`include "gmac_test1.v"
 
`include "gmac_test2.v"
`include "uart_test1.v"
`include "uart_test1.v"
`include "spi_test1.v"
`include "spi_test1.v"
`include "tb_tasks.v"
`include "tb_tasks.v"
`include "spi_tasks.v"
`include "spi_tasks.v"
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.