OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [tb/] [tb_top.v] - Diff between revs 52 and 53

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 52 Rev 53
Line 403... Line 403...
      ea_in       = 0;
      ea_in       = 0;
      master_mode = 0;
      master_mode = 0;
   end
   end
 
 
  `TB_GLBL.init;
  `TB_GLBL.init;
 
 
 
   // test case, which has control before reset
 
   if ( $test$plusargs("gmac_test_2") )
 
       gmac_test2();
 
 
   #1000 wait(reset_out_n == 1);
   #1000 wait(reset_out_n == 1);
 
 
 
   // test case, which has control after reset
   if ( $test$plusargs("gmac_test_1") )
   if ( $test$plusargs("gmac_test_1") )
       gmac_test1();
       gmac_test1();
   else if ( $test$plusargs("gmac_test_2") )
 
       gmac_test2();
 
   else if ( $test$plusargs("uart_test_1") )
   else if ( $test$plusargs("uart_test_1") )
       uart_test1();
       uart_test1();
   else if ( $test$plusargs("spi_test_1") )
   else if ( $test$plusargs("spi_test_1") )
       spi_test1();
       spi_test1();
   else begin
   else begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.