OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [tb/] [tb_top.v] - Diff between revs 57 and 61

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 57 Rev 61
Line 409... Line 409...
  `TB_GLBL.init;
  `TB_GLBL.init;
 
 
   // test case, which has control before reset
   // test case, which has control before reset
   if ( $test$plusargs("gmac_test_2") )
   if ( $test$plusargs("gmac_test_2") )
       gmac_test2();
       gmac_test2();
 
   else if ( $test$plusargs("webserver") )
 
       webserver();
 
 
   #1000 wait(reset_out_n == 1);
   #1000 wait(reset_out_n == 1);
 
 
   // test case, which has control after reset
   // test case, which has control after reset
   if ( $test$plusargs("gmac_test_1") )
   if ( $test$plusargs("gmac_test_1") )
       gmac_test1();
       gmac_test1();
   else if ( $test$plusargs("uart_test_1") )
   else if ( $test$plusargs("uart_test_1") )
       uart_test1();
       uart_test1();
   else if ( $test$plusargs("spi_test_1") )
   else if ( $test$plusargs("spi_test_1") )
       spi_test1();
       spi_test1();
   else if ( !$test$plusargs("gmac_test_2") ) begin
   else if ( !$test$plusargs("gmac_test_2") &&
 
             !$test$plusargs("webserver")) begin
     // 8051 Test Cases
     // 8051 Test Cases
     #80000000
     #80000000
     $display("time ",$time, "\n faulire: end of time\n \n");
     $display("time ",$time, "\n faulire: end of time\n \n");
   end
   end
 
 
Line 455... Line 458...
 
 
 
 
 
 
`include "gmac_test1.v"
`include "gmac_test1.v"
`include "gmac_test2.v"
`include "gmac_test2.v"
 
`include "webserver.v"
`include "uart_test1.v"
`include "uart_test1.v"
`include "spi_test1.v"
`include "spi_test1.v"
`include "tb_tasks.v"
`include "tb_tasks.v"
`include "spi_tasks.v"
`include "spi_tasks.v"
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.