OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [testcase/] [gmac_test1.v] - Diff between revs 50 and 56

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 50 Rev 56
Line 48... Line 48...
     begin
     begin
        while(iFrmCnt != 10) begin
        while(iFrmCnt != 10) begin
          tb_top.cpu_read('h1,8'h30,read_data); // Tx/Rx Counter
          tb_top.cpu_read('h1,8'h30,read_data); // Tx/Rx Counter
          if(read_data[3:0] != 0) begin // Check the Rx Q Counter
          if(read_data[3:0] != 0) begin // Check the Rx Q Counter
              // Read the Receive Descriptor
              // Read the Receive Descriptor
              tb_top.cpu_read('h4,{desc_rx_qbase,desc_ptr},read_data);
              tb_top.cpu_read('h4,{desc_rx_qbase,desc_ptr,2'b0},read_data);
              // Write the Tx Descriptor
              // Write the Tx Descriptor
              tb_top.cpu_write('h4,{desc_tx_qbase,desc_ptr},read_data);
              tb_top.cpu_write('h4,{desc_tx_qbase,desc_ptr,2'b0},read_data);
              desc_ptr = desc_ptr+1;
              desc_ptr = desc_ptr+1;
              iFrmCnt  = iFrmCnt+1;
              iFrmCnt  = iFrmCnt+1;
          end
          end
          #1000;
          #1000;
       end
       end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.