OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [testcase/] [spi_test1.v] - Diff between revs 15 and 74

Show entire file | Details | Blame | View Log

Rev 15 Rev 74
Line 2... Line 2...
  Verify the Read/Write in ST Flash
  Verify the Read/Write in ST Flash
*****************************************************/
*****************************************************/
 
 
 
 
task spi_test1;
task spi_test1;
 
begin
 
 
 $display("############################################");
 $display("############################################");
 $display("   Testing ST Flash Read/Write Access       ");
 $display("   Testing ST Flash Read/Write Access       ");
 $display("############################################");
 $display("############################################");
 
 
Line 26... Line 26...
  // Page Read
  // Page Read
  tb_top.spi_page_read_verify(24'h00); // Read and verify 256 Bytes
  tb_top.spi_page_read_verify(24'h00); // Read and verify 256 Bytes
 
 
 
 
  tb_top.spi_tb_status(); // SPI Tb Init
  tb_top.spi_tb_status(); // SPI Tb Init
 
end
endtask
endtask
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.