OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [testcase/] [uart_test1.v] - Diff between revs 74 and 76

Show entire file | Details | Blame | View Log

Rev 74 Rev 76
Line 38... Line 38...
 
 
      fork
      fork
      begin
      begin
         for (i=0; i<40; i=i+1)
         for (i=0; i<40; i=i+1)
         begin
         begin
           $display ("\n... Writing char %d ...", write_data[i]);
           $display ("\n... Writing char %x ...", write_data[i]);
            tb_top.tb_uart.write_char (write_data[i]);
            tb_top.tb_uart.write_char (write_data[i]);
         end
         end
      end
      end
 
 
      begin
      begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.