OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

[/] [tv80/] [branches/] [hpa1/] [env/] [env_io.v] - Diff between revs 6 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 13
Line 4... Line 4...
  DI,
  DI,
  // Inputs
  // Inputs
  clk, iorq_n, rd_n, wr_n, addr, DO
  clk, iorq_n, rd_n, wr_n, addr, DO
  );
  );
 
 
 
  parameter str_buf_sz = 256;
 
 
  input clk;
  input clk;
  input iorq_n;
  input iorq_n;
  input rd_n;
  input rd_n;
  input wr_n;
  input wr_n;
  input [7:0] addr;
  input [7:0] addr;
  input [7:0] DO;
  input [7:0] DO;
  inout [7:0] DI;
  inout [7:0] DI;
 
 
  reg [7:0]    io_data;
  reg [7:0]    io_data;
 
 
  reg [7:0]    str_buf [0:255];
  reg [7:0]    str_buf [0:str_buf_sz-1];
  reg          io_cs;
  reg          io_cs;
  integer      buf_ptr, i;
  integer      buf_ptr, i;
 
 
  reg [7:0]    timeout_ctl;
  reg [7:0]    timeout_ctl;
  reg [15:0]   cur_timeout;
  reg [15:0]   cur_timeout;
Line 63... Line 65...
          8'h81 :
          8'h81 :
            begin
            begin
              str_buf[buf_ptr] = DO;
              str_buf[buf_ptr] = DO;
              buf_ptr = buf_ptr + 1;
              buf_ptr = buf_ptr + 1;
 
 
 
              if (buf_ptr == str_buf_sz)
 
                begin
 
                  $display ("%t: WARNING : String buffer reached maximum size without detecting EOL", $time);
 
                  $write ("%t: WARNING : Contents: ", $time);
 
                  for (i=0; i<buf_ptr; i=i+1)
 
                    $write ("%s", str_buf[i]);
 
                  $write ("\n");
 
                  buf_ptr = 0;
 
                end
 
 
              //$display ("%t: DEBUG   : Detected write of character %x", $time, DO);
              //$display ("%t: DEBUG   : Detected write of character %x", $time, DO);
              if (DO == 8'h0A)
              if (DO == 8'h0A)
                begin
                begin
                  $write ("%t: PROGRAM : ", $time);
                  $write ("%t: PROGRAM : ", $time);
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.