OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

[/] [tv80/] [trunk/] [rtl/] [core/] [tv80_core.v] - Diff between revs 89 and 90

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 89 Rev 90
Line 305... Line 305...
  function [2:0] mcyc_to_number;
  function [2:0] mcyc_to_number;
    input [6:0] mcyc;
    input [6:0] mcyc;
    begin
    begin
      casez (mcyc)
      casez (mcyc)
        7'b1zzzzzz : mcyc_to_number = 3'h7;
        7'b1zzzzzz : mcyc_to_number = 3'h7;
        7'bz1zzzzz : mcyc_to_number = 3'h6;
        7'b01zzzzz : mcyc_to_number = 3'h6;
        7'bzz1zzzz : mcyc_to_number = 3'h5;
        7'b001zzzz : mcyc_to_number = 3'h5;
        7'bzzz1zzz : mcyc_to_number = 3'h4;
        7'b0001zzz : mcyc_to_number = 3'h4;
        7'bzzzz1zz : mcyc_to_number = 3'h3;
        7'b00001zz : mcyc_to_number = 3'h3;
        7'bzzzzz1z : mcyc_to_number = 3'h2;
        7'b000001z : mcyc_to_number = 3'h2;
        7'bzzzzzz1 : mcyc_to_number = 3'h1;
        7'b0000001 : mcyc_to_number = 3'h1;
        default : mcyc_to_number = 3'h1;
        default : mcyc_to_number = 3'h1;
      endcase
      endcase
    end
    end
  endfunction
  endfunction
 
 
Line 853... Line 853...
                      SP[7:0] <= #1 Save_Mux;
                      SP[7:0] <= #1 Save_Mux;
                    5'b11001 :
                    5'b11001 :
                      SP[15:8] <= #1 Save_Mux;
                      SP[15:8] <= #1 Save_Mux;
                    5'b11011 :
                    5'b11011 :
                      F <= #1 Save_Mux;
                      F <= #1 Save_Mux;
 
                    default : ;
                  endcase
                  endcase
                end // if ((tstate == 1 && Save_ALU_r == 1'b0 && Auto_Wait_t1 == 1'b0) ||...              
                end // if ((tstate == 1 && Save_ALU_r == 1'b0 && Auto_Wait_t1 == 1'b0) ||...              
            end // if (ClkEn == 1'b1 )         
            end // if (ClkEn == 1'b1 )         
        end // else: !if(reset_n == 1'b0 )
        end // else: !if(reset_n == 1'b0 )
    end
    end
Line 952... Line 953...
          case (Read_To_Reg_r)
          case (Read_To_Reg_r)
            5'b10000 , 5'b10001 , 5'b10010 , 5'b10011 , 5'b10100 , 5'b10101 :
            5'b10000 , 5'b10001 , 5'b10010 , 5'b10011 , 5'b10100 , 5'b10101 :
              begin
              begin
                RegWEH = ~ Read_To_Reg_r[0];
                RegWEH = ~ Read_To_Reg_r[0];
                RegWEL = Read_To_Reg_r[0];
                RegWEL = Read_To_Reg_r[0];
              end
              end // UNMATCHED !!
 
            default : ;
          endcase // case(Read_To_Reg_r)
          endcase // case(Read_To_Reg_r)
 
 
        end // if ((tstate == 1 && Save_ALU_r == 1'b0 && Auto_Wait_t1 == 1'b0) ||...
        end // if ((tstate == 1 && Save_ALU_r == 1'b0 && Auto_Wait_t1 == 1'b0) ||...
 
 
 
 
Line 964... Line 966...
        begin
        begin
          RegWEH = 1'b1;
          RegWEH = 1'b1;
          RegWEL = 1'b1;
          RegWEL = 1'b1;
        end
        end
 
 
      if (IncDec_16[2] == 1'b1 && ((tstate[2] && wait_n == 1'b1 && mcycle != 3'b001) || (tstate[3] && mcycle[0])) )
      if (IncDec_16[2] && ((tstate[2] && ~wait_n && ~mcycle[0]) || (tstate[3] && mcycle[0])) )
        begin
        begin
          case (IncDec_16[1:0])
          case (IncDec_16[1:0])
            2'b00 , 2'b01 , 2'b10 :
            2'b00 , 2'b01 , 2'b10 :
              begin
              begin
                RegWEH = 1'b1;
                RegWEH = 1'b1;
                RegWEL = 1'b1;
                RegWEL = 1'b1;
              end
              end // UNMATCHED !!
 
            default : ;
          endcase
          endcase
        end
        end
    end // always @ *
    end // always @ *
 
 
 
 
Line 993... Line 996...
      else if (ExchangeDH == 1'b1 && tstate[4] )
      else if (ExchangeDH == 1'b1 && tstate[4] )
        begin
        begin
          RegDIH = RegBusA_r[15:8];
          RegDIH = RegBusA_r[15:8];
          RegDIL = RegBusA_r[7:0];
          RegDIL = RegBusA_r[7:0];
        end
        end
      else if (IncDec_16[2] == 1'b1 && ((tstate[2] && mcycle != 3'b001) || (tstate[3] && mcycle[0])) )
      else if (IncDec_16[2] == 1'b1 && ((tstate[2] && ~mcycle[0]) || (tstate[3] && mcycle[0])) )
        begin
        begin
          RegDIH = ID16[15:8];
          RegDIH = ID16[15:8];
          RegDIL = ID16[7:0];
          RegDIL = ID16[7:0];
        end
        end
    end
    end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.