OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

[/] [uart16550/] [trunk/] [rtl/] [verilog/] [uart_regs.v] - Diff between revs 66 and 68

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 66 Rev 68
Line 60... Line 60...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.36  2001/12/20 13:25:46  mohor
 
// rx push changed to be only one cycle wide.
 
//
// Revision 1.35  2001/12/19 08:03:34  mohor
// Revision 1.35  2001/12/19 08:03:34  mohor
// Warnings cleared.
// Warnings cleared.
//
//
// Revision 1.34  2001/12/19 07:33:54  mohor
// Revision 1.34  2001/12/19 07:33:54  mohor
// Synplicity was having troubles with the comment.
// Synplicity was having troubles with the comment.
Line 532... Line 535...
assign lsr2 = rf_data_out[1]; // parity error bit
assign lsr2 = rf_data_out[1]; // parity error bit
assign lsr3 = rf_data_out[0]; // framing error bit
assign lsr3 = rf_data_out[0]; // framing error bit
assign lsr4 = rf_data_out[2]; // break error in the character
assign lsr4 = rf_data_out[2]; // break error in the character
assign lsr5 = (tf_count==5'b0 && thre_set_en);  // transmitter fifo is empty
assign lsr5 = (tf_count==5'b0 && thre_set_en);  // transmitter fifo is empty
assign lsr6 = (tf_count==5'b0 && thre_set_en && (tstate == /*`S_IDLE */ 0)); // transmitter empty
assign lsr6 = (tf_count==5'b0 && thre_set_en && (tstate == /*`S_IDLE */ 0)); // transmitter empty
assign lsr7 = rf_error_bit;
assign lsr7 = rf_error_bit | rf_overrun;
 
 
// lsr bit0 (receiver data available)
// lsr bit0 (receiver data available)
reg      lsr0_d;
reg      lsr0_d;
 
 
always @(posedge clk or posedge wb_rst_i)
always @(posedge clk or posedge wb_rst_i)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.