OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

[/] [uart16550/] [trunk/] [rtl/] [verilog/] [uart_transmitter.v] - Diff between revs 61 and 70

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 61 Rev 70
Line 61... Line 61...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.15  2001/12/17 14:46:48  mohor
 
// overrun signal was moved to separate block because many sequential lsr
 
// reads were preventing data from being written to rx fifo.
 
// underrun signal was not used and was removed from the project.
 
//
// Revision 1.14  2001/12/03 21:44:29  gorban
// Revision 1.14  2001/12/03 21:44:29  gorban
// Updated specification documentation.
// Updated specification documentation.
// Added full 32-bit data bus interface, now as default.
// Added full 32-bit data bus interface, now as default.
// Address is 5-bit wide in 32-bit data bus mode.
// Address is 5-bit wide in 32-bit data bus mode.
// Added wb_sel_i input to the core. It's used in the 32-bit mode.
// Added wb_sel_i input to the core. It's used in the 32-bit mode.
Line 317... Line 322...
 
 
                default : // should never get here
                default : // should never get here
                        tstate <= #1 s_idle;
                        tstate <= #1 s_idle;
        endcase
        endcase
  end // end if enable
  end // end if enable
 
  else
 
    tf_pop <= #1 1'b0;  // tf_pop must be 1 cycle width
end // transmitter logic
end // transmitter logic
 
 
assign stx_pad_o = lcr[`UART_LC_BC] ? 1'b0 : stx_o_tmp;    // Break condition
assign stx_pad_o = lcr[`UART_LC_BC] ? 1'b0 : stx_o_tmp;    // Break condition
 
 
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.