OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [analysis/] [uart_scoreboard.svh] - Diff between revs 9 and 10

Show entire file | Details | Blame | View Log

Rev 9 Rev 10
Line 54... Line 54...
 
 
        extern function void connect_phase (uvm_phase phase);
        extern function void connect_phase (uvm_phase phase);
 
 
        extern task run_phase (uvm_phase phase);
        extern task run_phase (uvm_phase phase);
 
 
 
        extern function void extract_phase (uvm_phase phase);
 
 
        extern function void ack_checker ();
        extern function void ack_checker ();
endclass:uart_scoreboard
endclass:uart_scoreboard
 
 
 
 
function void uart_scoreboard::build_phase (uvm_phase phase);
function void uart_scoreboard::build_phase (uvm_phase phase);
Line 246... Line 248...
                                        begin
                                        begin
                                        `uvm_fatal("Testbench Bug",$sformatf("Mode is undefined = %p \n",frm_drv._mode))
                                        `uvm_fatal("Testbench Bug",$sformatf("Mode is undefined = %p \n",frm_drv._mode))
                                        end
                                        end
                        endcase
                        endcase
                        end // else: !if(frm_drv._command inside {invalid_read,invalid_write})
                        end // else: !if(frm_drv._command inside {invalid_read,invalid_write})
                   uvm_resource_db#(int)::write_by_name("Reporting","matched_packets",match);
 
                end
                end
endtask:run_phase
endtask:run_phase
 
 
 
function void uart_scoreboard::extract_phase(uvm_phase phase);
 
   uvm_resource_db#(int)::write_by_name("Reporting","matched_packets",match);
 
endfunction // extract_phase
 
 
 
 
function void uart_scoreboard::ack_checker();
function void uart_scoreboard::ack_checker();
 
 
        if(frm_drv._reqack == yes && frm_mon.acknowledge != 8'h5A)
        if(frm_drv._reqack == yes && frm_mon.acknowledge != 8'h5A)
                begin
                begin
                `uvm_error("Undefined Acknowledge",$sformatf("DUT reply with %h  as acknowledge character \n",frm_mon.acknowledge))
                `uvm_error("Undefined Acknowledge",$sformatf("DUT reply with %h  as acknowledge character \n",frm_mon.acknowledge))

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.