OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [baud_generator.vhd] - Diff between revs 12 and 14

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 14
Line 18... Line 18...
 
 
architecture Behavioral of baud_generator is
architecture Behavioral of baud_generator is
signal genTick : std_logic;
signal genTick : std_logic;
signal genTickOverSample : std_logic;
signal genTickOverSample : std_logic;
begin
begin
        process (rst, clk)
        process (rst, clk, cycle_wait)
        variable wait_clk_cycles : STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
        variable wait_clk_cycles : STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
        variable half_cycle : STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
        variable half_cycle : STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
        begin
        begin
                if rst = '1' then
                if rst = '1' then
                        wait_clk_cycles := (others => '0');
                        wait_clk_cycles := (others => '0');
Line 46... Line 46...
 
 
        baud <= genTick;
        baud <= genTick;
        baud_oversample <= genTickOverSample;
        baud_oversample <= genTickOverSample;
 
 
        -- Process to generate the overclocked (8x) sample
        -- Process to generate the overclocked (8x) sample
        process (rst, clk)
        process (rst, clk, cycle_wait)
        variable wait_clk_cycles : STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
        variable wait_clk_cycles : STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
        variable half_cycle : STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
        variable half_cycle : STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
        variable cycle_wait_oversample : STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
        variable cycle_wait_oversample : STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
        begin
        begin
                if rst = '1' then
                if rst = '1' then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.