OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [serial_receiver.vhd] - Diff between revs 14 and 15

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 15
Line 15... Line 15...
           data_ready : out  STD_LOGIC;
           data_ready : out  STD_LOGIC;
           data_byte : out  STD_LOGIC_VECTOR ((nBits-1) downto 0));
           data_byte : out  STD_LOGIC_VECTOR ((nBits-1) downto 0));
end serial_receiver;
end serial_receiver;
 
 
architecture Behavioral of serial_receiver is
architecture Behavioral of serial_receiver is
signal current_s,next_s: rxStates;
signal current_s: rxStates;
signal filterRx : rxFilterStates;
signal filterRx : rxFilterStates;
signal syncDetected : std_logic;
signal syncDetected : std_logic;
 
 
begin
begin
        -- First we need to oversample(4x baud rate) out serial channel to syncronize with the PC
        -- First we need to oversample(4x baud rate) out serial channel to syncronize with the PC
Line 67... Line 67...
                                                filterRx <= s3;
                                                filterRx <= s3;
                                                syncDetected <= '1';
                                                syncDetected <= '1';
                                        end if;
                                        end if;
 
 
                                        -- Reset out sync detector when finished to receive a byte
                                        -- Reset out sync detector when finished to receive a byte
                                        if current_s = rx_stop then
                                        if current_s = rx_idle then
                                                filterRx <= s0;
                                                filterRx <= s0;
                                        end if;
                                        end if;
                        end case;
                        end case;
                end if;
                end if;
        end process;
        end process;
 
 
        -- Next state logic for rx Receiver (On this case our reset is the syncDetected signal
        -- Process to handle the serial receive (On this case our reset is the syncDetected signal
 
        -- Always include all of your signals on the sensivity list!! (Even if the simulation is already ok)
        process (syncDetected, baudClk, serial_in)
        process (syncDetected, baudClk, serial_in)
 
        variable byteReceived : STD_LOGIC_VECTOR ((nBits-1) downto 0);
        begin
        begin
                if syncDetected = '0' then
                if syncDetected = '0' then
                        current_s <= rx_idle;
                        current_s <= bit0;
 
                        data_ready <= '0';
 
                        byteReceived := (others => '0');
                elsif rising_edge(baudClk) then
                elsif rising_edge(baudClk) then
                        current_s <= next_s;
 
                end if;
 
        end process;
 
 
 
        -- Process to handle the serial receive
 
        process (current_s, serial_in)
 
        variable byteReceived : STD_LOGIC_VECTOR ((nBits-1) downto 0);
 
        begin
 
                case current_s is
                case current_s is
                        when rx_idle =>
 
                                data_ready <= '0';
 
                                byteReceived := (others => 'Z');
 
                                next_s <=  bit0;
 
 
 
                        when bit0 =>
                        when bit0 =>
                                data_ready <= '0';
                                data_ready <= '0';
                                byteReceived(0) := serial_in;
                                byteReceived(0) := serial_in;
                                next_s <=  bit1;
                                        current_s <=  bit1;
 
 
                        when bit1 =>
                        when bit1 =>
                                data_ready <= '0';
                                data_ready <= '0';
                                byteReceived(1) := serial_in;
                                byteReceived(1) := serial_in;
                                next_s <=  bit2;
                                        current_s <=  bit2;
 
 
                        when bit2 =>
                        when bit2 =>
                                data_ready <= '0';
                                data_ready <= '0';
                                byteReceived(2) := serial_in;
                                byteReceived(2) := serial_in;
                                next_s <=  bit3;
                                        current_s <=  bit3;
 
 
                        when bit3 =>
                        when bit3 =>
                                data_ready <= '0';
                                data_ready <= '0';
                                byteReceived(3) := serial_in;
                                byteReceived(3) := serial_in;
                                next_s <=  bit4;
                                        current_s <=  bit4;
 
 
                        when bit4 =>
                        when bit4 =>
                                data_ready <= '0';
                                data_ready <= '0';
                                byteReceived(4) := serial_in;
                                byteReceived(4) := serial_in;
                                next_s <=  bit5;
                                        current_s <=  bit5;
 
 
                        when bit5 =>
                        when bit5 =>
                                data_ready <= '0';
                                data_ready <= '0';
                                byteReceived(5) := serial_in;
                                byteReceived(5) := serial_in;
                                next_s <=  bit6;
                                        current_s <=  bit6;
 
 
                        when bit6 =>
                        when bit6 =>
                                data_ready <= '0';
                                data_ready <= '0';
                                byteReceived(6) := serial_in;
                                byteReceived(6) := serial_in;
                                next_s <=  bit7;
                                        current_s <=  bit7;
 
 
                        when bit7 =>
                        when bit7 =>
                                data_ready <= '0';
                                data_ready <= '0';
                                byteReceived(7) := serial_in;
                                byteReceived(7) := serial_in;
                                data_byte <= byteReceived;
                                data_byte <= byteReceived;
                                next_s <=  rx_stop;
                                        current_s <=  rx_stop;
 
 
                        when rx_stop =>
                        when rx_stop =>
                                data_ready <= '1';
                                data_ready <= '1';
                                next_s <=  rx_stop;
                                        data_byte <= byteReceived;
                end case;
                                        current_s <=  rx_idle;
 
 
 
                                when rx_idle =>
 
                                        data_ready <= '1';
 
                                        data_byte <= byteReceived;
 
                                        current_s <=  rx_idle;
 
 
 
                        end case;
 
                end if;
        end process;
        end process;
 
 
end Behavioral;
end Behavioral;
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.