URL
https://opencores.org/ocsvn/uart_block/uart_block/trunk
[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [serial_transmitter.vhd] - Diff between revs 2 and 37
Show entire file |
Details |
Blame |
View Log
Rev 2 |
Rev 37 |
Line 1... |
Line 1... |
--! Data transmitter
|
--! @file
|
--! http://www.fpga4fun.com/SerialInterface.html
|
--! @brief Serial transmitter http://www.fpga4fun.com/SerialInterface.html
|
library IEEE;
|
library IEEE;
|
use IEEE.STD_LOGIC_1164.ALL;
|
use IEEE.STD_LOGIC_1164.ALL;
|
|
|
--! Use CPU Definitions package
|
--! Use CPU Definitions package
|
use work.pkgDefinitions.all;
|
use work.pkgDefinitions.all;
|
|
|
entity serial_transmitter is
|
entity serial_transmitter is
|
Port ( rst : in STD_LOGIC;
|
Port ( rst : in STD_LOGIC; --! Reset input
|
baudClk : in STD_LOGIC;
|
baudClk : in STD_LOGIC; --! Baud rate clock input
|
data_byte : in STD_LOGIC_VECTOR ((nBits-1) downto 0);
|
data_byte : in STD_LOGIC_VECTOR ((nBits-1) downto 0); --! Byte to be sent
|
data_sent : out STD_LOGIC;
|
data_sent : out STD_LOGIC; --! Indicate that byte has been sent
|
serial_out : out STD_LOGIC);
|
serial_out : out STD_LOGIC); --! Uart serial output
|
end serial_transmitter;
|
end serial_transmitter;
|
|
|
|
--! @brief Serial transmitter http://www.fpga4fun.com/SerialInterface.html
|
|
--! @details Implement block that serialize the "data_byte" signal on a stream of bits clocked out by "baudClk"
|
architecture Behavioral of serial_transmitter is
|
architecture Behavioral of serial_transmitter is
|
signal current_s,next_s: txStates;
|
signal current_s,next_s: txStates;
|
begin
|
begin
|
|
|
-- Next state process
|
-- Next state process
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.