OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testBaud_generator.vhd] - Diff between revs 36 and 37

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 36 Rev 37
Line 11... Line 11...
END testBaud_generator;
END testBaud_generator;
 
 
ARCHITECTURE behavior OF testBaud_generator IS
ARCHITECTURE behavior OF testBaud_generator IS
 
 
    COMPONENT baud_generator
    COMPONENT baud_generator
    PORT(
    Port ( rst : in STD_LOGIC;                                                                                                          --! Reset Input
         rst : IN  std_logic;
                          clk : in  STD_LOGIC;                                                                                                          --! Clock input
         clk : IN  std_logic;
           cycle_wait : in  STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);  --! Number of cycles to wait for baud generation
         cycle_wait : in  STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
                          baud_oversample : out std_logic;                                                                              --! Oversample(8x) version of baud (Used on serial_receiver)
                        baud_oversample : out std_logic;
           baud : out  STD_LOGIC);                                                                                                      --! Baud generation output (Used on serial_transmitter)
         baud : OUT  std_logic
 
        );
 
    END COMPONENT;
    END COMPONENT;
 
 
 
 
   --Inputs
   --Inputs
   signal rst : std_logic := '0';
   signal rst : std_logic := '0';                                                                                                                                                --! Signal to connect with UUT
   signal clk : std_logic := '0';
   signal clk : std_logic := '0';                                                                                                                                                --! Signal to connect with UUT
   signal cycle_wait : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');
   signal cycle_wait : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');      --! Signal to connect with UUT
 
 
        --Outputs
        --Outputs
   signal baud : std_logic;
   signal baud : std_logic;                                     --! Signal to connect with UUT
        signal baud_oversample : std_logic;
        signal baud_oversample : std_logic;             --! Signal to connect with UUT
 
 
   -- Clock period definitions (1.8432MHz)
   -- Clock period definitions (1.8432MHz)
   constant clk_period : time := 0.543 us; -- 0.543us (1.8432Mhz) 2ns (50Mhz)
   constant clk_period : time := 0.543 us; -- 0.543us (1.8432Mhz) 2ns (50Mhz)
 
 
BEGIN
BEGIN

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.