OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testBaud_generator.vhd] - Diff between revs 6 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 8
Line 30... Line 30...
   signal cycle_wait : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');
   signal cycle_wait : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');
 
 
        --Outputs
        --Outputs
   signal baud : std_logic;
   signal baud : std_logic;
 
 
   -- Clock period definitions
   -- Clock period definitions (1.8432MHz)
   constant clk_period : time := 10 ns;
   constant clk_period : time := 5.43 us;
 
 
BEGIN
BEGIN
 
 
        -- Instantiate the Unit Under Test (UUT)
        -- Instantiate the Unit Under Test (UUT)
   uut: baud_generator PORT MAP (
   uut: baud_generator PORT MAP (
Line 58... Line 58...
   -- Stimulus process
   -- Stimulus process
   stim_proc: process
   stim_proc: process
   begin
   begin
      -- Test the baud generator waiting for 10 clock cycles
      -- Test the baud generator waiting for 10 clock cycles
                rst <= '1';
                rst <= '1';
                cycle_wait <= conv_std_logic_vector(2, (nBitsLarge));
                cycle_wait <= conv_std_logic_vector(16, (nBitsLarge));
      wait for 10 ns;
      wait for 10 us;
                rst <= '0';
                rst <= '0';
 
 
      wait for clk_period*100;
      wait for clk_period*100;
 
 
      -- Stop Simulation
      -- Stop Simulation

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.