OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testDivisor.vhd] - Diff between revs 6 and 15

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 15
Line 1... Line 1...
--------------------------------------------------------------------------------
--! Test divisor module
-- Company: 
 
-- Engineer:
 
--
 
-- Create Date:   14:35:04 04/20/2012
 
-- Design Name:   
 
-- Module Name:   /home/laraujo/work/uartVHDLWishBone/testDivisor.vhd
 
-- Project Name:  uartVHDLWishBone
 
-- Target Device:  
 
-- Tool versions:  
 
-- Description:   
 
-- 
 
-- VHDL Test Bench Created by ISE for module: divisor
 
-- 
 
-- Dependencies:
 
-- 
 
-- Revision:
 
-- Revision 0.01 - File Created
 
-- Additional Comments:
 
--
 
-- Notes: 
 
-- This testbench has been automatically generated using types std_logic and
 
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
 
-- that these types always be used for the top-level I/O of a design in order
 
-- to guarantee that the testbench will bind correctly to the post-implementation 
 
-- simulation model.
 
--------------------------------------------------------------------------------
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_arith.all;
 
 
-- Uncomment the following library declaration if using
--! Use Global Definitions package
-- arithmetic functions with Signed or Unsigned values
use work.pkgDefinitions.all;
--USE ieee.numeric_std.ALL;
 
 
 
ENTITY testDivisor IS
ENTITY testDivisor IS
END testDivisor;
END testDivisor;
 
 
ARCHITECTURE behavior OF testDivisor IS
ARCHITECTURE behavior OF testDivisor IS
Line 42... Line 15...
 
 
    COMPONENT divisor
    COMPONENT divisor
    PORT(
    PORT(
         rst : IN  std_logic;
         rst : IN  std_logic;
         clk : IN  std_logic;
         clk : IN  std_logic;
         quotient : OUT  std_logic_vector(31 downto 0);
         quotient : OUT  std_logic_vector((nBitsLarge-1) downto 0);
         reminder : OUT  std_logic_vector(31 downto 0);
         reminder : OUT  std_logic_vector((nBitsLarge-1) downto 0);
         numerator : IN  std_logic_vector(31 downto 0);
         numerator : IN  std_logic_vector((nBitsLarge-1) downto 0);
         divident : IN  std_logic_vector(31 downto 0);
         divident : IN  std_logic_vector((nBitsLarge-1) downto 0);
         done : OUT  std_logic
         done : OUT  std_logic
        );
        );
    END COMPONENT;
    END COMPONENT;
 
 
 
 
   --Inputs
   --Inputs
   signal rst : std_logic := '0';
   signal rst : std_logic := '0';
   signal clk : std_logic := '0';
   signal clk : std_logic := '0';
   signal numerator : std_logic_vector(31 downto 0) := (others => '0');
   signal numerator : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');
   signal divident : std_logic_vector(31 downto 0) := (others => '0');
   signal divident : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');
 
 
        --Outputs
        --Outputs
   signal quotient : std_logic_vector(31 downto 0);
   signal quotient : std_logic_vector((nBitsLarge-1) downto 0);
   signal reminder : std_logic_vector(31 downto 0);
   signal reminder : std_logic_vector((nBitsLarge-1) downto 0);
   signal done : std_logic;
   signal done : std_logic;
 
 
   -- Clock period definitions
   -- Clock period definitions
   constant clk_period : time := 10 ns;
   constant clk_period : time := 10 ns;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.