OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testDivisor.vhd] - Diff between revs 36 and 37

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 36 Rev 37
Line 12... Line 12...
ARCHITECTURE behavior OF testDivisor IS
ARCHITECTURE behavior OF testDivisor IS
 
 
    -- Component Declaration for the Unit Under Test (UUT)
    -- Component Declaration for the Unit Under Test (UUT)
 
 
    COMPONENT divisor
    COMPONENT divisor
    PORT(
    Port ( rst : in  STD_LOGIC;                                                                                                         --! Reset input
         rst : IN  std_logic;
           clk : in  STD_LOGIC;                                                                                                         --! Clock input
         clk : IN  std_logic;
           quotient : out  STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);   --! Division result (32 bits)
         quotient : OUT  std_logic_vector((nBitsLarge-1) downto 0);
                          reminder : out  STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);    --! Reminder result (32 bits)
         reminder : OUT  std_logic_vector((nBitsLarge-1) downto 0);
           numerator : in  STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);   --! Numerator (32 bits)
         numerator : IN  std_logic_vector((nBitsLarge-1) downto 0);
           divident : in  STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);    --! "Divide by" number (32 bits)
         divident : IN  std_logic_vector((nBitsLarge-1) downto 0);
           done : out  STD_LOGIC);
         done : OUT  std_logic
 
        );
 
    END COMPONENT;
    END COMPONENT;
 
 
 
 
   --Inputs
   --Inputs
   signal rst : std_logic := '0';
   signal rst : std_logic := '0';                                                                                                                                        --! Signal to connect with UUT
   signal clk : std_logic := '0';
   signal clk : std_logic := '0';                                                                                                                                        --! Signal to connect with UUT
   signal numerator : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');
   signal numerator : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');       --! Signal to connect with UUT
   signal divident : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');
   signal divident : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');        --! Signal to connect with UUT
 
 
        --Outputs
        --Outputs
   signal quotient : std_logic_vector((nBitsLarge-1) downto 0);
   signal quotient : std_logic_vector((nBitsLarge-1) downto 0);                                                  --! Signal to connect with UUT
   signal reminder : std_logic_vector((nBitsLarge-1) downto 0);
   signal reminder : std_logic_vector((nBitsLarge-1) downto 0);                                                  --! Signal to connect with UUT
   signal done : std_logic;
   signal done : std_logic;
 
 
   -- Clock period definitions
   -- Clock period definitions
   constant clk_period : time := 10 ns;
   constant clk_period : time := 10 ns;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.