OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testDivisor.vhd] - Diff between revs 5 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 98... Line 98...
                numerator <= conv_std_logic_vector(50000000, 32);
                numerator <= conv_std_logic_vector(50000000, 32);
                divident <= conv_std_logic_vector(115200, 32);
                divident <= conv_std_logic_vector(115200, 32);
      wait for 20 ns;
      wait for 20 ns;
                rst <= '0';
                rst <= '0';
 
 
      wait for clk_period*32;
                wait until done = '1';
 
      wait for clk_period;
 
 
 
                rst <= '1';
 
                numerator <= conv_std_logic_vector(40, 32);
 
                divident <= conv_std_logic_vector(5, 32);
 
      wait for 20 ns;
 
                rst <= '0';
 
 
 
                wait until done = '1';
 
                wait for clk_period;
 
 
      -- insert stimulus here 
      -- insert stimulus here 
 
                assert false report "NONE. End of simulation." severity failure;
 
 
      wait;
 
   end process;
   end process;
 
 
END;
END;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.