Line 13... |
Line 13... |
-- Component Declaration for the Unit Under Test (UUT)
|
-- Component Declaration for the Unit Under Test (UUT)
|
|
|
COMPONENT serial_receiver
|
COMPONENT serial_receiver
|
PORT(
|
PORT(
|
rst : IN std_logic;
|
rst : IN std_logic;
|
baudClk : IN std_logic;
|
|
baudOverSampleClk : IN std_logic;
|
baudOverSampleClk : IN std_logic;
|
serial_in : IN std_logic;
|
serial_in : IN std_logic;
|
data_ready : OUT std_logic;
|
data_ready : OUT std_logic;
|
data_byte : OUT std_logic_vector((nBits-1) downto 0)
|
data_byte : OUT std_logic_vector((nBits-1) downto 0)
|
);
|
);
|
Line 34... |
Line 33... |
signal data_ready : std_logic;
|
signal data_ready : std_logic;
|
signal data_byte : std_logic_vector((nBits-1) downto 0);
|
signal data_byte : std_logic_vector((nBits-1) downto 0);
|
|
|
-- Clock period definitions
|
-- Clock period definitions
|
constant baudClk_period : time := 8.6805 us;
|
constant baudClk_period : time := 8.6805 us;
|
constant baudOverSampleClk_period : time := 1 us;
|
constant baudOverSampleClk_period : time :=1.085 us;
|
|
|
BEGIN
|
BEGIN
|
|
|
-- Instantiate the Unit Under Test (UUT)
|
-- Instantiate the Unit Under Test (UUT)
|
uut: serial_receiver PORT MAP (
|
uut: serial_receiver PORT MAP (
|
rst => rst,
|
rst => rst,
|
baudClk => baudClk,
|
|
baudOverSampleClk => baudOverSampleClk,
|
baudOverSampleClk => baudOverSampleClk,
|
serial_in => serial_in,
|
serial_in => serial_in,
|
data_ready => data_ready,
|
data_ready => data_ready,
|
data_byte => data_byte
|
data_byte => data_byte
|
);
|
);
|