OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testSerial_transmitter.vhd] - Diff between revs 11 and 36

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 11 Rev 36
Line 35... Line 35...
   -- Clock period definitions
   -- Clock period definitions
   constant baudClk_period : time := 10 ns;
   constant baudClk_period : time := 10 ns;
 
 
BEGIN
BEGIN
 
 
        -- Instantiate the Unit Under Test (UUT)
        --! Instantiate the Unit Under Test (UUT)
   uut: serial_transmitter PORT MAP (
   uut: serial_transmitter PORT MAP (
          rst => rst,
          rst => rst,
          baudClk => baudClk,
          baudClk => baudClk,
          data_byte => data_byte,
          data_byte => data_byte,
          data_sent => data_sent,
          data_sent => data_sent,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.