OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testUart_control.vhd] - Diff between revs 22 and 23

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 23
Line 113... Line 113...
                DAT_I <= conv_std_logic_vector(115200, (nBitsLarge));
                DAT_I <= conv_std_logic_vector(115200, (nBitsLarge));
                wait until done = '1';
                wait until done = '1';
                WE <= '0';
                WE <= '0';
                start <= '0';
                start <= '0';
                reg_addr <= (others => 'U');
                reg_addr <= (others => 'U');
                wait for clk_period;
 
 
                -- Wait some time to configure the communication block
 
                wait for clk_period * 40;
 
 
 
 
                -- Ask to send some data...(0x55)
                -- Ask to send some data...(0x55)
                reg_addr <= "10";
                reg_addr <= "10";
                WE <= '1';
                WE <= '1';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.