OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testUart_wishbone_slave.vhd] - Diff between revs 16 and 17

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 16 Rev 17
Line 113... Line 113...
                WE_I <= '0';
                WE_I <= '0';
                STB_I <= '0';
                STB_I <= '0';
                ADR_I0 <= (others => 'U');
                ADR_I0 <= (others => 'U');
                wait for CLK_I_period;
                wait for CLK_I_period;
 
 
 
                -- Receive data...
 
                -- Receive 0x55 value (01010101)
 
                serial_in <= '0'; -- Start bit
 
                wait for 8.68 us;
 
 
 
                serial_in <= '1';
 
      wait for 8.68 us;
 
                serial_in <= '0';
 
      wait for 8.68 us;
 
                serial_in <= '1';
 
      wait for 8.68 us;
 
                serial_in <= '0';
 
      wait for 8.68 us;
 
                serial_in <= '1';
 
      wait for 8.68 us;
 
                serial_in <= '0';
 
      wait for 8.68 us;
 
                serial_in <= '1';
 
      wait for 8.68 us;
 
                serial_in <= '0';
 
      wait for 8.68 us;
 
 
 
                -- Stop bit here
 
                serial_in <= '1';
 
                wait for CLK_I_period*20;
 
 
      -- Stop Simulation
      -- Stop Simulation
                assert false report "NONE. End of simulation." severity failure;
                assert false report "NONE. End of simulation." severity failure;
   end process;
   end process;
 
 
END;
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.