OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testUart_wishbone_slave.vhd] - Diff between revs 18 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 18 Rev 19
Line 76... Line 76...
   -- Stimulus process
   -- Stimulus process
   stim_proc: process
   stim_proc: process
   begin
   begin
      -- Reset the slave
      -- Reset the slave
                RST_I <= '1';
                RST_I <= '1';
 
                serial_in <= '1';
      wait for CLK_I_period;
      wait for CLK_I_period;
                RST_I <= '0';
                RST_I <= '0';
                wait for CLK_I_period;
                wait for CLK_I_period;
 
 
      -- Configure the clock... 
      -- Configure the clock... 
Line 111... Line 112...
                DAT_I0 <= x"000000C4";
                DAT_I0 <= x"000000C4";
                wait until ACK_O = '1';
                wait until ACK_O = '1';
                WE_I <= '0';
                WE_I <= '0';
                STB_I <= '0';
                STB_I <= '0';
                ADR_I0 <= (others => 'U');
                ADR_I0 <= (others => 'U');
                wait for CLK_I_period;
                wait for CLK_I_period*500;
 
 
                -- Ask to send some data...(0xC4)
                -- Receive data
                ADR_I0 <= "11";
                ADR_I0 <= "11";
                WE_I <= '1';
                WE_I <= '0';
                STB_I <= '1';
                STB_I <= '1';
 
                wait for CLK_I_period*100; -- Error !!!!! (Should not need this!!)
 
 
                -- Receive data...
                -- Receive data...
                -- Receive 0x55 value (01010101)
                -- Receive 0x55 value (01010101)
                serial_in <= '0'; -- Start bit
                serial_in <= '0'; -- Start bit
                wait for 8.68 us;
                wait for 8.68 us;
Line 142... Line 144...
                serial_in <= '0';
                serial_in <= '0';
      wait for 8.68 us;
      wait for 8.68 us;
 
 
                -- Stop bit here
                -- Stop bit here
                serial_in <= '1';
                serial_in <= '1';
                wait for CLK_I_period*20;
 
 
 
                wait until ACK_O = '1';
                wait until ACK_O = '1';
                WE_I <= '0';
                wait for CLK_I_period*100;
                STB_I <= '0';
 
                wait for CLK_I_period;
 
 
 
      -- Stop Simulation
      -- Stop Simulation
                assert false report "NONE. End of simulation." severity failure;
                assert false report "NONE. End of simulation." severity failure;
   end process;
   end process;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.