OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testUart_wishbone_slave.vhd] - Diff between revs 36 and 37

Show entire file | Details | Blame | View Log

Rev 36 Rev 37
Line 13... Line 13...
ARCHITECTURE behavior OF testUart_wishbone_slave IS
ARCHITECTURE behavior OF testUart_wishbone_slave IS
 
 
    -- Component Declaration for the Unit Under Test (UUT)
    -- Component Declaration for the Unit Under Test (UUT)
 
 
    COMPONENT uart_wishbone_slave
    COMPONENT uart_wishbone_slave
    PORT(
    Port ( RST_I : in  STD_LOGIC;                                                               --! Reset Input
         RST_I : IN  std_logic;
           CLK_I : in  STD_LOGIC;                                                               --! Clock Input
         CLK_I : IN  std_logic;
           ADR_I0 : in  STD_LOGIC_VECTOR (1 downto 0);   --! Address input
         ADR_I0 : IN  std_logic_vector(1 downto 0);
           DAT_I0 : in  STD_LOGIC_VECTOR (31 downto 0);  --! Data Input 0
         DAT_I0 : IN  std_logic_vector(31 downto 0);
           DAT_O0 : out  STD_LOGIC_VECTOR (31 downto 0); --! Data Output 0
         DAT_O0 : OUT  std_logic_vector(31 downto 0);
           WE_I : in  STD_LOGIC;                                                                        --! Write enable input
         WE_I : IN  std_logic;
           STB_I : in  STD_LOGIC;                                                               --! Strobe input (Works like a chip select)
         STB_I : IN  std_logic;
           ACK_O : out  STD_LOGIC;                                                              --! Ack output
         ACK_O : OUT  std_logic;
 
         serial_in : IN  std_logic;
                          -- NON-WISHBONE Signals
                        data_Avaible : out std_logic;                                                                                   -- Indicate that the receiver module got something
                          serial_in : in std_logic;                                                     --! Uart serial input
         serial_out : OUT  std_logic
                          data_Avaible : out std_logic;                                         --! Flag to indicate data avaible                                       
 
                          serial_out : out std_logic
        );
        );
    END COMPONENT;
    END COMPONENT;
 
 
 
 
   --Inputs
   --Inputs
   signal RST_I : std_logic := '0';
   signal RST_I : std_logic := '0';                                                                                              --! Signal to connect with UUT
   signal CLK_I : std_logic := '0';
   signal CLK_I : std_logic := '0';                                                                                              --! Signal to connect with UUT
   signal ADR_I0 : std_logic_vector(1 downto 0) := (others => '0');
   signal ADR_I0 : std_logic_vector(1 downto 0) := (others => '0');       --! Signal to connect with UUT
   signal DAT_I0 : std_logic_vector(31 downto 0) := (others => '0');
   signal DAT_I0 : std_logic_vector(31 downto 0) := (others => '0');      --! Signal to connect with UUT
   signal WE_I : std_logic := '0';
   signal WE_I : std_logic := '0';
   signal STB_I : std_logic := '0';
   signal STB_I : std_logic := '0';
   signal serial_in : std_logic := '0';
   signal serial_in : std_logic := '0';
 
 
        --Outputs
        --Outputs
   signal DAT_O0 : std_logic_vector(31 downto 0);
   signal DAT_O0 : std_logic_vector(31 downto 0);                                                        --! Signal to connect with UUT
   signal ACK_O : std_logic;
   signal ACK_O : std_logic;                                                                                                            --! Signal to connect with UUT
   signal serial_out : std_logic;
   signal serial_out : std_logic;                                                                                               --! Signal to connect with UUT
        signal data_Avaible : std_logic;
        signal data_Avaible : std_logic;                                                                                                --! Signal to connect with UUT
 
 
   -- Clock period definitions (1.8432MHz)
   -- Clock period definitions (1.8432MHz)
   constant CLK_I_period : time := 20 ns; -- 0.543us (1.8432Mhz) 2ns (50Mhz)
   constant CLK_I_period : time := 20 ns; -- 0.543us (1.8432Mhz) 2ns (50Mhz)
 
 
BEGIN
BEGIN

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.