OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [uart_communication_blocks.vhd] - Diff between revs 12 and 35

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 35
Line 38... Line 38...
end component;
end component;
 
 
component serial_receiver is
component serial_receiver is
    Port (
    Port (
                          rst : in STD_LOGIC;
                          rst : in STD_LOGIC;
                          baudClk : in  STD_LOGIC;
 
                          baudOverSampleClk : in  STD_LOGIC;
                          baudOverSampleClk : in  STD_LOGIC;
           serial_in : in  STD_LOGIC;
           serial_in : in  STD_LOGIC;
           data_ready : out  STD_LOGIC;
           data_ready : out  STD_LOGIC;
           data_byte : out  STD_LOGIC_VECTOR ((nBits-1) downto 0));
           data_byte : out  STD_LOGIC_VECTOR ((nBits-1) downto 0));
end component;
end component;
Line 68... Line 67...
        );
        );
 
 
        -- Instantiate serial_receiver
        -- Instantiate serial_receiver
        uReceiver : serial_receiver port map(
        uReceiver : serial_receiver port map(
                rst => rst,
                rst => rst,
                baudClk => baud_tick,
 
                baudOverSampleClk => baud_tick_oversample,
                baudOverSampleClk => baud_tick_oversample,
                serial_in => serial_in,
                serial_in => serial_in,
                data_ready => data_received_rx,
                data_ready => data_received_rx,
                data_byte => byte_rx
                data_byte => byte_rx
        );
        );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.