Line 31... |
Line 31... |
signal controlStates : uartControl;
|
signal controlStates : uartControl;
|
|
|
signal sigDivRst : std_logic;
|
signal sigDivRst : std_logic;
|
signal sigDivDone : std_logic;
|
signal sigDivDone : std_logic;
|
signal sigDivQuotient : std_logic_vector((nBitsLarge-1) downto 0);
|
signal sigDivQuotient : std_logic_vector((nBitsLarge-1) downto 0);
|
signal sigDivReminder : std_logic_vector((nBitsLarge-1) downto 0);
|
--signal sigDivReminder : std_logic_vector((nBitsLarge-1) downto 0);
|
signal sigDivNumerator : std_logic_vector((nBitsLarge-1) downto 0);
|
signal sigDivNumerator : std_logic_vector((nBitsLarge-1) downto 0);
|
signal sigDivDividend : std_logic_vector((nBitsLarge-1) downto 0);
|
signal sigDivDividend : std_logic_vector((nBitsLarge-1) downto 0);
|
|
|
-- Divisor component
|
-- Divisor component
|
component divisor is
|
component divisor is
|
Line 52... |
Line 52... |
-- Instantiate block for calculate division
|
-- Instantiate block for calculate division
|
uDiv : divisor port map (
|
uDiv : divisor port map (
|
rst => sigDivRst,
|
rst => sigDivRst,
|
clk => clk,
|
clk => clk,
|
quotient => sigDivQuotient,
|
quotient => sigDivQuotient,
|
reminder => sigDivReminder,
|
reminder => open, -- Indicates that this port will not be connected to anything
|
numerator => sigDivNumerator,
|
numerator => sigDivNumerator,
|
divident => sigDivDividend,
|
divident => sigDivDividend,
|
done => sigDivDone
|
done => sigDivDone
|
);
|
);
|
|
|
Line 82... |
Line 82... |
end if;
|
end if;
|
end if;
|
end if;
|
end process;
|
end process;
|
|
|
-- Process that populate the uart control registers
|
-- Process that populate the uart control registers
|
process (rst, clk, reg_addr,WE)
|
process (rst, clk, reg_addr,WE,start)
|
begin
|
begin
|
if rst = '1' then
|
if rst = '1' then
|
config_clk <= (others => '0');
|
config_clk <= (others => '0');
|
config_baud <= (others => '0');
|
config_baud <= (others => '0');
|
byte_to_transmitt <= (others => '0');
|
byte_to_transmitt <= (others => '0');
|