URL
https://opencores.org/ocsvn/uart_fpga_slow_control_migrated/uart_fpga_slow_control_migrated/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 3 |
Rev 16 |
Line 55... |
Line 55... |
--=============================================================================
|
--=============================================================================
|
|
|
entity ab_top is
|
entity ab_top is
|
port(
|
port(
|
clk_uart_29MHz_i : in std_logic;
|
clk_uart_29MHz_i : in std_logic;
|
|
uart_rst_i : in std_logic;
|
|
uart_leds_o : out std_logic_vector(7 downto 0);
|
clk_uart_monitor_o : out std_logic;
|
clk_uart_monitor_o : out std_logic;
|
-- #####################
|
-- #####################
|
-- ADD your registers toward the rest of the logic here
|
-- ADD your registers toward the rest of the logic here
|
-- #####################
|
-- #####################
|
uart_din_o : out std_logic;
|
uart_din_o : out std_logic;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.