OpenCores
URL https://opencores.org/ocsvn/uart_fpga_slow_control_migrated/uart_fpga_slow_control_migrated/trunk

Subversion Repositories uart_fpga_slow_control_migrated

[/] [uart_fpga_slow_control/] [trunk/] [code/] [ab_uart_16550_wrapper.vhd] - Diff between revs 15 and 19

Show entire file | Details | Blame | View Log

Rev 15 Rev 19
Line 73... Line 73...
  sys_rst_i          : in std_logic;  -- system reset
  sys_rst_i          : in std_logic;  -- system reset
  -- TX/RX process command line
  -- TX/RX process command line
  echo_en_i          : in std_logic;  -- Echo enable (byte by byte) enable/disable = 1/0
  echo_en_i          : in std_logic;  -- Echo enable (byte by byte) enable/disable = 1/0
  tx_addr_wwo_i      : in std_logic;  -- control of TX process With or WithOut address W/WO=(1/0)
  tx_addr_wwo_i      : in std_logic;  -- control of TX process With or WithOut address W/WO=(1/0)
  -- serial I/O side
  -- serial I/O side
  lantronix_output_i : in std_logic;                    -- Lantronix Serial data OUTPUT signal
  uart_din_i              : in std_logic;       -- Serial data INPUT signal (from the FPGA)
  lantronix_input_o  : out std_logic;           -- Lantronix Serial data INPUT signal
  uart_dout_o             : out std_logic;      -- Serial data OUTPUT signal (to the FPGA)
  cp_b               : inout std_logic_vector(2 downto 0);  -- general purpose IO pins
 
  -- parallel I/O side
  -- parallel I/O side
  s_br_clk_uart_o    : out std_logic;           -- br_clk clock probe signal
  s_br_clk_uart_o    : out std_logic;           -- br_clk clock probe signal
  -- RX part/control
  -- RX part/control
  v_rx_add_o         : out std_logic_vector(15 downto 0);        -- 16 bits full addr ram input
  v_rx_add_o         : out std_logic_vector(15 downto 0);        -- 16 bits full addr ram input
  v_rx_data_o        : out std_logic_vector(31 downto 0);        -- 32 bits full data ram input
  v_rx_data_o        : out std_logic_vector(31 downto 0);        -- 32 bits full data ram input
Line 113... Line 112...
      CS      : in std_logic; -- Chip select -> 1 Cycle long CS strobe = 1 data transaction (w/r)
      CS      : in std_logic; -- Chip select -> 1 Cycle long CS strobe = 1 data transaction (w/r)
      WR      : in std_logic; -- WRITE when HIGH with CS high | READ when LOW with CS high 
      WR      : in std_logic; -- WRITE when HIGH with CS high | READ when LOW with CS high 
      ADD     : in std_logic_vector(2 downto 0); -- ADDRESS BUS
      ADD     : in std_logic_vector(2 downto 0); -- ADDRESS BUS
      D       : in std_logic_vector(7 downto 0); -- Input DATA BUS and CONTROL BUS
      D       : in std_logic_vector(7 downto 0); -- Input DATA BUS and CONTROL BUS
 
 
      sRX     : in std_logic; -- Lantronix's OUTPUT
      sRX     : in std_logic; -- uart's INPUT
      CTSn    : in std_logic := '1';
      CTSn    : in std_logic := '1';
      DSRn    : in std_logic := '1';
      DSRn    : in std_logic := '1';
      RIn     : in std_logic := '1';
      RIn     : in std_logic := '1';
      DCDn    : in std_logic := '1';
      DCDn    : in std_logic := '1';
 
 
      sTX     : out std_logic; -- Lantronix's INPUT
      sTX     : out std_logic; -- uart's OUTPUT
      DTRn    : out std_logic;
      DTRn    : out std_logic;
      RTSn    : out std_logic;
      RTSn    : out std_logic;
      OUT1n   : out std_logic;
      OUT1n   : out std_logic;
      OUT2n   : out std_logic;
      OUT2n   : out std_logic;
      TXRDYn  : out std_logic; -- Tx FIFO not Full      
      TXRDYn  : out std_logic; -- Tx FIFO not Full      
Line 339... Line 338...
-- architecture begin
-- architecture begin
--=============================================================================
--=============================================================================
 
 
begin
begin
 
 
  s_clk                 <= sys_clk_i;    -- 14,xxx MHz main clock single ended buffer and division by one
  s_clk                 <= sys_clk_i;    -- 29,xxx MHz main clock single ended buffer
                        -- and 1 Mbit/s with Lantronix
 
  s_clk_n               <=  not s_clk;
  s_clk_n               <=  not s_clk;
  s_rst                 <= sys_rst_i;
  s_rst                 <= sys_rst_i;
  s_br_clk              <= s_clk;
  s_br_clk              <= s_clk;
 
 
        s_reading_proc <= v_lbus_state(1);
        s_reading_proc <= v_lbus_state(1);
Line 490... Line 489...
      rst_buffer => s_rst_buffer,       -- soft fifo release reset after init
      rst_buffer => s_rst_buffer,       -- soft fifo release reset after init
      CS        => s_cs, -- Chip select -> 1 Cycle long CS strobe = 1 data transaction (w/r)
      CS        => s_cs, -- Chip select -> 1 Cycle long CS strobe = 1 data transaction (w/r)
      WR        => s_wr, -- WRITE when HIGH with CS high | READ when LOW with CS high
      WR        => s_wr, -- WRITE when HIGH with CS high | READ when LOW with CS high
      ADD       => uart_add_bus,        -- ADDRESS BUS
      ADD       => uart_add_bus,        -- ADDRESS BUS
      D         => uart_data_bus,       -- Input DATA BUS and CONTROL BUS
      D         => uart_data_bus,       -- Input DATA BUS and CONTROL BUS
      sRX       => lantronix_output_i,           -- Lantronix's OUTPUT
      sRX       => uart_din_i,           -- uart' INPUT
      CTSn      => '1',                    -- not used 
      CTSn      => '1',                    -- not used 
      DSRn      => '1',                    -- not used
      DSRn      => '1',                    -- not used
      RIn       => '1',                    -- not used
      RIn       => '1',                    -- not used
      DCDn      => '1',                    -- not used
      DCDn      => '1',                    -- not used
 
 
      sTX       => lantronix_input_o,            -- Lantronix's INPUT
      sTX       => uart_dout_o,                  -- uart's OUTPUT
      DTRn      => open,                   -- not used 
      DTRn      => open,                   -- not used 
      RTSn      => open,                   -- not used 
      RTSn      => open,                   -- not used 
      OUT1n     => open,                   -- not used 
      OUT1n     => open,                   -- not used 
      OUT2n     => open,                   -- not used 
      OUT2n     => open,                   -- not used 
      TXRDYn    => uart_txrdy_n,           -- Tx FIFO not Fully      
      TXRDYn    => uart_txrdy_n,           -- Tx FIFO not Fully      

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.