Line 52... |
Line 52... |
mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx)
|
mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx)
|
mac_tx_granted : in std_logic; -- indicates that access to channel has been granted
|
mac_tx_granted : in std_logic; -- indicates that access to channel has been granted
|
data_out_clk : in std_logic;
|
data_out_clk : in std_logic;
|
data_out_ready : in std_logic; -- indicates system ready to consume data
|
data_out_ready : in std_logic; -- indicates system ready to consume data
|
data_out_valid : out std_logic; -- indicates data out is valid
|
data_out_valid : out std_logic; -- indicates data out is valid
|
|
data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame
|
data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame
|
data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame
|
data_out : out std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame)
|
data_out : out std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame)
|
-- system signals
|
-- system signals
|
our_mac_address : in STD_LOGIC_VECTOR (47 downto 0);
|
our_mac_address : in STD_LOGIC_VECTOR (47 downto 0);
|
our_ip_address : in STD_LOGIC_VECTOR (31 downto 0);
|
our_ip_address : in STD_LOGIC_VECTOR (31 downto 0);
|
Line 72... |
Line 73... |
signal data_in_last : std_logic := '0';
|
signal data_in_last : std_logic := '0';
|
signal our_mac_address : std_logic_vector(47 downto 0) := (others => '0');
|
signal our_mac_address : std_logic_vector(47 downto 0) := (others => '0');
|
signal our_ip_address : std_logic_vector(31 downto 0) := (others => '0');
|
signal our_ip_address : std_logic_vector(31 downto 0) := (others => '0');
|
signal data_out_ready : std_logic;
|
signal data_out_ready : std_logic;
|
signal data_out_valid : std_logic;
|
signal data_out_valid : std_logic;
|
|
signal data_out_first : std_logic;
|
signal data_out_last : std_logic;
|
signal data_out_last : std_logic;
|
signal data_out : std_logic_vector (7 downto 0);
|
signal data_out : std_logic_vector (7 downto 0);
|
signal req_count : STD_LOGIC_VECTOR(7 downto 0);
|
signal req_count : STD_LOGIC_VECTOR(7 downto 0);
|
signal arp_req_req : arp_req_req_type;
|
signal arp_req_req : arp_req_req_type;
|
signal arp_req_rslt : arp_req_rslt_type;
|
signal arp_req_rslt : arp_req_rslt_type;
|
Line 103... |
Line 105... |
mac_tx_req => mac_tx_req,
|
mac_tx_req => mac_tx_req,
|
mac_tx_granted => mac_tx_granted,
|
mac_tx_granted => mac_tx_granted,
|
data_out_clk => clk,
|
data_out_clk => clk,
|
data_out_ready => data_out_ready,
|
data_out_ready => data_out_ready,
|
data_out_valid => data_out_valid,
|
data_out_valid => data_out_valid,
|
|
data_out_first => data_out_first,
|
data_out_last => data_out_last,
|
data_out_last => data_out_last,
|
data_out => data_out,
|
data_out => data_out,
|
-- system mappings
|
-- system mappings
|
our_mac_address => our_mac_address,
|
our_mac_address => our_mac_address,
|
our_ip_address => our_ip_address,
|
our_ip_address => our_ip_address,
|