URL
https://opencores.org/ocsvn/udp_ip_stack/udp_ip_stack/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 2 |
Rev 18 |
Line 18... |
Line 18... |
-- Revision 0.02 - Improved error handling
|
-- Revision 0.02 - Improved error handling
|
-- Additional Comments:
|
-- Additional Comments:
|
--
|
--
|
----------------------------------------------------------------------------------
|
----------------------------------------------------------------------------------
|
library IEEE;
|
library IEEE;
|
use IEEE.STD_LOGIC_1164.ALL;
|
use IEEE.STD_LOGIC_1164.all;
|
use IEEE.NUMERIC_STD.ALL;
|
use IEEE.NUMERIC_STD.all;
|
use work.axi.all;
|
use work.axi.all;
|
use work.ipv4_types.all;
|
use work.ipv4_types.all;
|
|
|
entity UDP_RX is
|
entity UDP_RX is
|
Port (
|
port (
|
-- UDP Layer signals
|
-- UDP Layer signals
|
udp_rx_start : out std_logic; -- indicates receipt of udp header
|
udp_rx_start : out std_logic; -- indicates receipt of udp header
|
udp_rxo : out udp_rx_type;
|
udp_rxo : out udp_rx_type;
|
-- system signals
|
-- system signals
|
clk : in STD_LOGIC;
|
clk : in std_logic;
|
reset : in STD_LOGIC;
|
reset : in std_logic;
|
-- IP layer RX signals
|
-- IP layer RX signals
|
ip_rx_start : in std_logic; -- indicates receipt of ip header
|
ip_rx_start : in std_logic; -- indicates receipt of ip header
|
ip_rx : in ipv4_rx_type
|
ip_rx : in ipv4_rx_type
|
);
|
);
|
end UDP_RX;
|
end UDP_RX;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.