Line 92... |
Line 92... |
ip_pkt_count : out STD_LOGIC_VECTOR(7 downto 0); -- number of IP pkts received for us
|
ip_pkt_count : out STD_LOGIC_VECTOR(7 downto 0); -- number of IP pkts received for us
|
-- MAC Transmitter
|
-- MAC Transmitter
|
mac_tx_tdata : out std_logic_vector(7 downto 0); -- data byte to tx
|
mac_tx_tdata : out std_logic_vector(7 downto 0); -- data byte to tx
|
mac_tx_tvalid : out std_logic; -- tdata is valid
|
mac_tx_tvalid : out std_logic; -- tdata is valid
|
mac_tx_tready : in std_logic; -- mac is ready to accept data
|
mac_tx_tready : in std_logic; -- mac is ready to accept data
|
|
mac_tx_tfirst : out std_logic; -- indicates first byte of frame
|
mac_tx_tlast : out std_logic; -- indicates last byte of frame
|
mac_tx_tlast : out std_logic; -- indicates last byte of frame
|
-- MAC Receiver
|
-- MAC Receiver
|
mac_rx_tdata : in std_logic_vector(7 downto 0); -- data byte received
|
mac_rx_tdata : in std_logic_vector(7 downto 0); -- data byte received
|
mac_rx_tvalid : in std_logic; -- indicates tdata is valid
|
mac_rx_tvalid : in std_logic; -- indicates tdata is valid
|
mac_rx_tready : out std_logic; -- tells mac that we are ready to take data
|
mac_rx_tready : out std_logic; -- tells mac that we are ready to take data
|
Line 206... |
Line 207... |
ip_pkt_count => ip_pkt_count,
|
ip_pkt_count => ip_pkt_count,
|
|
|
-- MAC Transmitter
|
-- MAC Transmitter
|
mac_tx_tready => mac_tx_tready_int,
|
mac_tx_tready => mac_tx_tready_int,
|
mac_tx_tvalid => mac_tx_tvalid,
|
mac_tx_tvalid => mac_tx_tvalid,
|
|
mac_tx_tfirst => open,
|
mac_tx_tlast => mac_tx_tlast,
|
mac_tx_tlast => mac_tx_tlast,
|
mac_tx_tdata => mac_tx_tdata,
|
mac_tx_tdata => mac_tx_tdata,
|
-- MAC Receiver
|
-- MAC Receiver
|
mac_rx_tdata => mac_rx_tdata,
|
mac_rx_tdata => mac_rx_tdata,
|
mac_rx_tvalid => mac_rx_tvalid,
|
mac_rx_tvalid => mac_rx_tvalid,
|