OpenCores
URL https://opencores.org/ocsvn/ulpi_wrapper/ulpi_wrapper/trunk

Subversion Repositories ulpi_wrapper

[/] [ulpi_wrapper/] [trunk/] [testbench/] [tb_top.v] - Diff between revs 3 and 4

Show entire file | Details | Blame | View Log

Rev 3 Rev 4
Line 37... Line 37...
//-----------------------------------------------------------------
//-----------------------------------------------------------------
ulpi_wrapper dut
ulpi_wrapper dut
(
(
      .ulpi_clk60_i(ulpi_clk60_i)
      .ulpi_clk60_i(ulpi_clk60_i)
    , .ulpi_rst_i(ulpi_rst_i)
    , .ulpi_rst_i(ulpi_rst_i)
    , .ulpi_data_i(ulpi_data_i)
    , .ulpi_data_out_i(ulpi_data_i)
    , .ulpi_data_o(ulpi_data_o)
    , .ulpi_data_in_o(ulpi_data_o)
    , .ulpi_dir_i(ulpi_dir_i)
    , .ulpi_dir_i(ulpi_dir_i)
    , .ulpi_nxt_i(ulpi_nxt_i)
    , .ulpi_nxt_i(ulpi_nxt_i)
    , .ulpi_stp_o(ulpi_stp_o)
    , .ulpi_stp_o(ulpi_stp_o)
    , .reg_addr_i(reg_addr_i)
 
    , .reg_stb_i(reg_stb_i)
 
    , .reg_we_i(reg_we_i)
 
    , .reg_data_i(reg_data_i)
 
    , .reg_data_o(reg_data_o)
 
    , .reg_ack_o(reg_ack_o)
 
    , .utmi_txvalid_i(utmi_txvalid_i)
    , .utmi_txvalid_i(utmi_txvalid_i)
    , .utmi_txready_o(utmi_txready_o)
    , .utmi_txready_o(utmi_txready_o)
    , .utmi_rxvalid_o(utmi_rxvalid_o)
    , .utmi_rxvalid_o(utmi_rxvalid_o)
    , .utmi_rxactive_o(utmi_rxactive_o)
    , .utmi_rxactive_o(utmi_rxactive_o)
    , .utmi_rxerror_o(utmi_rxerror_o)
    , .utmi_rxerror_o(utmi_rxerror_o)
    , .utmi_data_o(utmi_data_o)
    , .utmi_data_in_o(utmi_data_o)
    , .utmi_data_i(utmi_data_i)
    , .utmi_data_out_i(utmi_data_i)
    , .utmi_xcvrselect_i(utmi_xcvrselect_i)
    , .utmi_xcvrselect_i(utmi_xcvrselect_i)
    , .utmi_termselect_i(utmi_termselect_i)
    , .utmi_termselect_i(utmi_termselect_i)
    , .utmi_opmode_i(utmi_opmode_i)
    , .utmi_op_mode_i(utmi_opmode_i)
    , .utmi_dppulldown_i(utmi_dppulldown_i)
    , .utmi_dppulldown_i(utmi_dppulldown_i)
    , .utmi_dmpulldown_i(utmi_dmpulldown_i)
    , .utmi_dmpulldown_i(utmi_dmpulldown_i)
    , .utmi_linestate_o(utmi_linestate_o)
    , .utmi_linestate_o(utmi_linestate_o)
);
);
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.