OpenCores
URL https://opencores.org/ocsvn/usb1_funct/usb1_funct/trunk

Subversion Repositories usb1_funct

[/] [usb1_funct/] [trunk/] [rtl/] [verilog/] [usb1_core.v] - Diff between revs 5 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 8
Line 36... Line 36...
////                                                             ////
////                                                             ////
/////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////
 
 
//  CVS Log
//  CVS Log
//
//
//  $Id: usb1_core.v,v 1.1 2002-09-25 06:06:49 rudi Exp $
//  $Id: usb1_core.v,v 1.2 2002-10-11 05:48:20 rudi Exp $
//
//
//  $Date: 2002-09-25 06:06:49 $
//  $Date: 2002-10-11 05:48:20 $
//  $Revision: 1.1 $
//  $Revision: 1.2 $
//  $Author: rudi $
//  $Author: rudi $
//  $Locker:  $
//  $Locker:  $
//  $State: Exp $
//  $State: Exp $
//
//
// Change History:
// Change History:
//               $Log: not supported by cvs2svn $
//               $Log: not supported by cvs2svn $
 
//               Revision 1.1  2002/09/25 06:06:49  rudi
 
//               - Added New Top Level
 
//               - Remove old top level and associated files
 
//               - Moved FIFOs to "Generic FIFOs" project
 
//
//
//
//
//
//
//
//
//
//
//
Line 532... Line 537...
        case(ep_sel)    // synopsys full_case parallel_case
        case(ep_sel)    // synopsys full_case parallel_case
           4'h0:        tx_data_st <= #1 ep0_dout;
           4'h0:        tx_data_st <= #1 ep0_dout;
           4'h1:        tx_data_st <= #1 ep1_din;
           4'h1:        tx_data_st <= #1 ep1_din;
           4'h2:        tx_data_st <= #1 ep2_din;
           4'h2:        tx_data_st <= #1 ep2_din;
           4'h3:        tx_data_st <= #1 ep3_din;
           4'h3:        tx_data_st <= #1 ep3_din;
           4'h3:        tx_data_st <= #1 ep4_din;
           4'h4:        tx_data_st <= #1 ep4_din;
           4'h5:        tx_data_st <= #1 ep5_din;
           4'h5:        tx_data_st <= #1 ep5_din;
           4'h6:        tx_data_st <= #1 ep6_din;
           4'h6:        tx_data_st <= #1 ep6_din;
           4'h7:        tx_data_st <= #1 ep7_din;
           4'h7:        tx_data_st <= #1 ep7_din;
        endcase
        endcase
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.