OpenCores
URL https://opencores.org/ocsvn/usb_dongle_fpga/usb_dongle_fpga/trunk

Subversion Repositories usb_dongle_fpga

[/] [usb_dongle_fpga/] [tags/] [version_1_5/] [altera_quartus_proj/] [dongle_syn.qsf] - Diff between revs 2 and 3

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 26... Line 26...
set_global_assignment -name FAMILY Cyclone
set_global_assignment -name FAMILY Cyclone
set_global_assignment -name DEVICE EP1C6T144C8
set_global_assignment -name DEVICE EP1C6T144C8
set_global_assignment -name TOP_LEVEL_ENTITY design_top
set_global_assignment -name TOP_LEVEL_ENTITY design_top
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:34:29  AUGUST 31, 2006"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:34:29  AUGUST 31, 2006"
set_global_assignment -name LAST_QUARTUS_VERSION 6.0
set_global_assignment -name LAST_QUARTUS_VERSION "6.0 SP1"
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)"
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)"
set_global_assignment -name EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION ON -section_id eda_simulation
set_global_assignment -name EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION ON -section_id eda_simulation
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
Line 125... Line 125...
set_location_assignment PIN_4 -to usb_rxf_n
set_location_assignment PIN_4 -to usb_rxf_n
set_location_assignment PIN_5 -to usb_txe_n
set_location_assignment PIN_5 -to usb_txe_n
set_location_assignment PIN_6 -to usb_wr
set_location_assignment PIN_6 -to usb_wr
set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE EPCS1
set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE EPCS1
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
set_instance_assignment -name IO_STANDARD LVCMOS -to scn_seg[0]
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVCMOS
set_instance_assignment -name IO_STANDARD LVCMOS -to scn_seg[1]
 
set_instance_assignment -name IO_STANDARD LVCMOS -to scn_seg[2]
 
set_instance_assignment -name IO_STANDARD LVCMOS -to scn_seg[3]
 
set_instance_assignment -name IO_STANDARD LVCMOS -to seg_out[0]
 
set_instance_assignment -name IO_STANDARD LVCMOS -to seg_out[1]
 
set_instance_assignment -name IO_STANDARD LVCMOS -to seg_out[2]
 
set_instance_assignment -name IO_STANDARD LVCMOS -to seg_out[3]
 
set_instance_assignment -name IO_STANDARD LVCMOS -to seg_out[4]
 
set_instance_assignment -name IO_STANDARD LVCMOS -to seg_out[5]
 
set_instance_assignment -name IO_STANDARD LVCMOS -to seg_out[6]
 
set_instance_assignment -name IO_STANDARD LVCMOS -to seg_out[7]
 
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVTTL
 
set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER ON
set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER ON
set_global_assignment -name FMAX_REQUIREMENT "33 MHz" -section_id for33
set_global_assignment -name FMAX_REQUIREMENT "33 MHz" -section_id for33
set_instance_assignment -name CLOCK_SETTINGS for33 -to lclk
set_instance_assignment -name CLOCK_SETTINGS for33 -to lclk
set_global_assignment -name FMAX_REQUIREMENT "25 MHz" -section_id for25sys
set_global_assignment -name FMAX_REQUIREMENT "25 MHz" -section_id for25sys
set_instance_assignment -name CLOCK_SETTINGS for25sys -to sys_clk
set_instance_assignment -name CLOCK_SETTINGS for25sys -to sys_clk
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[0]
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[0]
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[1]
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[1]
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[2]
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[2]
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[3]
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[3]
set_global_assignment -name OUTPUT_PIN_LOAD 4000 -section_id LVCMOS
 
set_global_assignment -name VHDL_FILE ../src/usb/usb2mem.vhd
set_global_assignment -name VHDL_FILE ../src/usb/usb2mem.vhd
set_global_assignment -name VHDL_FILE ../src/lpc_proto/lpc_byte.vhd
set_global_assignment -name VHDL_FILE ../src/lpc_proto/lpc_byte.vhd
set_global_assignment -name VHDL_FILE ../src/flash/flsh_if.vhd
set_global_assignment -name VHDL_FILE ../src/flash/flsh_if.vhd
set_global_assignment -name VHDL_FILE ../src/led_sys/led_coder.vhd
set_global_assignment -name VHDL_FILE ../src/led_sys/led_coder.vhd
set_global_assignment -name VHDL_FILE ../src/led_sys/byte_scan_mux.vhd
set_global_assignment -name VHDL_FILE ../src/led_sys/byte_scan_mux.vhd
set_global_assignment -name VHDL_FILE ../src/led_sys/led_sys.vhd
set_global_assignment -name VHDL_FILE ../src/led_sys/led_sys.vhd
set_global_assignment -name VHDL_FILE ../src/design_top/design_top_thincandbg.vhd
set_global_assignment -name VHDL_FILE ../src/design_top/design_top_thincandbg.vhd
 No newline at end of file
 No newline at end of file
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to lad
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_data
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to hdr
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to usb_bd
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_addr
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_ce_n
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_oe_n
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_rp_n
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_we_n
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to led_green
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to led_red
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to scn_seg
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to seg_out
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to usb_rd_n
 
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to usb_wr
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.