OpenCores
URL https://opencores.org/ocsvn/usb_dongle_fpga/usb_dongle_fpga/trunk

Subversion Repositories usb_dongle_fpga

[/] [usb_dongle_fpga/] [tags/] [version_1_5/] [src/] [design_top/] [design_top_thincandbg.vhd] - Diff between revs 15 and 17

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 15 Rev 17
Line 61... Line 61...
entity design_top is
entity design_top is
  port (
  port (
        --system signals
        --system signals
        sys_clk    : in    std_logic;         --25 MHz clk
        sys_clk    : in    std_logic;         --25 MHz clk
        resetn     : in    std_logic;
        resetn     : in    std_logic;
        hdr                : out    std_logic_vector(10 downto 0);
        hdr                : inout    std_logic_vector(10 downto 0);
        --alt_clk    : out    std_logic;    --alternative clock from extention header
        --alt_clk    : out    std_logic;    
        mode       : in    std_logic_vector(1 downto 0);  --sel upper addr bits
        mode       : in    std_logic_vector(1 downto 0);  --sel upper addr bits
    --lpc slave interf
    --lpc slave interf
    lad        : inout std_logic_vector(3 downto 0);
    lad        : inout std_logic_vector(3 downto 0);
    lframe_n   : in    std_logic;
    lframe_n   : in    std_logic;
    lreset_n   : in    std_logic;
    lreset_n   : in    std_logic;
Line 117... Line 117...
component lpc_iow
component lpc_iow
  port (
  port (
    --system signals
    --system signals
    lreset_n   : in  std_logic;
    lreset_n   : in  std_logic;
    lclk       : in  std_logic;
    lclk       : in  std_logic;
 
        lena_mem_r : in  std_logic;  --enable full adress range covering memory read block
 
        lena_reads : in  std_logic;  --enable read capabilities
        --LPC bus from host
        --LPC bus from host
    lad_i      : in  std_logic_vector(3 downto 0);
    lad_i      : in  std_logic_vector(3 downto 0);
    lad_o      : out std_logic_vector(3 downto 0);
    lad_o      : out std_logic_vector(3 downto 0);
    lad_oe     : out std_logic;
    lad_oe     : out std_logic;
    lframe_n   : in  std_logic;
    lframe_n   : in  std_logic;
Line 197... Line 199...
signal    lpc_data_o : std_logic_vector(7 downto 0);
signal    lpc_data_o : std_logic_vector(7 downto 0);
signal    lpc_data_i : std_logic_vector(7 downto 0);
signal    lpc_data_i : std_logic_vector(7 downto 0);
signal    lpc_wr     : std_logic;        --shared write not read
signal    lpc_wr     : std_logic;        --shared write not read
signal    lpc_ack    : std_logic;
signal    lpc_ack    : std_logic;
signal    lpc_val    : std_logic;
signal    lpc_val    : std_logic;
 
signal    lena_mem_r : std_logic;  --enable full adress range covering memory read block
 
signal    lena_reads : std_logic;  --enable/disables all read capabilty to make the device post code capturer
 
 
signal    c25_lpc_val  : std_logic;
signal    c25_lpc_val  : std_logic;
signal    c25_lpc_wr     : std_logic;        --shared write not read
signal    c25_lpc_wr     : std_logic;        --shared write not read
signal    c33_lpc_wr     : std_logic;        --for led debug data latching
signal    c33_lpc_wr     : std_logic;        --for led debug data latching
 
 
Line 234... Line 237...
signal    enable_4meg: std_logic;
signal    enable_4meg: std_logic;
--END USB signals
--END USB signals
 
 
begin
begin
 
 
 
--GPIO PINS START
 
 
 
hdr(2) <= '0'; --create low pin for jumper pair 5-6 (this pin is 6 on J1 header)
 
hdr(0) <= 'Z';
 
lena_mem_r <= not hdr(0); -- disabled if jumper is not on header pins 1-2
 
lena_reads <= hdr(3); -- disabled if jumper is on (jumper makes it a postcode only device)
 
 
 
--GPIO PINS END
 
 
--LED SUBSYSTEM START
--LED SUBSYSTEM START
 
 
data_to_disp <= x"86"&lpc_debug(7 downto 0);     --x"C0DE"; -- ASSIGN data to be displayed (should be regitered)
data_to_disp <= x"86"&lpc_debug(7 downto 0);     --x"C0DE"; -- ASSIGN data to be displayed (should be regitered)
 
 
Line 250... Line 260...
LEDS: led_sys   --toplevel for led system
LEDS: led_sys   --toplevel for led system
  generic map(
  generic map(
        msn_hib => "01111111",--8  --Most signif. of hi byte  
        msn_hib => "01111111",--8  --Most signif. of hi byte  
        lsn_hib => "01111101",--6   --Least signif. of hi byte
        lsn_hib => "01111101",--6   --Least signif. of hi byte
        msn_lob => "10111111",--0  --Most signif. of hi byte   This is version code
        msn_lob => "10111111",--0  --Most signif. of hi byte   This is version code
        lsn_lob => "01001111" --3   --Least signif. of hi byte  This is version code
        --lsn_lob => "01001111" --3   --Least signif. of hi byte        This is version code
 
        lsn_lob => "01100110" --4   --Least signif. of hi byte  This is version code
  )
  )
  port map(
  port map(
    clk                         => sys_clk , -- in std_logic;
    clk                         => sys_clk , -- in std_logic;
    reset_n                     => resetn, -- in std_logic;
    reset_n                     => resetn, -- in std_logic;
        led_data_i              => data_to_disp, -- in  std_logic_vector(15 downto 0);   --binary data in
        led_data_i              => data_to_disp, -- in  std_logic_vector(15 downto 0);   --binary data in
Line 276... Line 287...
LPCBUS : lpc_iow
LPCBUS : lpc_iow
  port map(
  port map(
    --system signals
    --system signals
    lreset_n   => lreset_n, -- in  std_logic;
    lreset_n   => lreset_n, -- in  std_logic;
    lclk       => lclk, -- in  std_logic;
    lclk       => lclk, -- in  std_logic;
 
        lena_mem_r => lena_mem_r,--: in  std_logic;  --enable full adress range covering memory read block
 
        lena_reads => lena_reads, -- : in  std_logic;  --enable read capabilities, : in  std_logic;  --enable read capabilities
        --LPC bus from host
        --LPC bus from host
    lad_i      => lad_i, -- in  std_logic_vector(3 downto 0);
    lad_i      => lad_i, -- in  std_logic_vector(3 downto 0);
    lad_o      => lad_o, -- out std_logic_vector(3 downto 0);
    lad_o      => lad_o, -- out std_logic_vector(3 downto 0);
    lad_oe     => lad_oe, -- out std_logic;
    lad_oe     => lad_oe, -- out std_logic;
    lframe_n   => lframe_n, -- in  std_logic;
    lframe_n   => lframe_n, -- in  std_logic;
Line 393... Line 406...
    mem_wr    => mem_wr, -- in  std_logic;  --write not read signal
    mem_wr    => mem_wr, -- in  std_logic;  --write not read signal
    mem_val   => mem_val, -- in  std_logic;
    mem_val   => mem_val, -- in  std_logic;
    mem_ack   => mem_ack  -- out std_logic
    mem_ack   => mem_ack  -- out std_logic
    );
    );
 
 
--hdr(7 downto 0) <= umem_do(7 downto 0) when  umem_ack='0' and umem_wr='1' else
 
--                                 umem_do(15 downto 8) when  umem_ack='1' and umem_wr='1' else
 
--                                 mem_do(7 downto 0) when  umem_wr='0' else
 
--                                 mem_do(15 downto 8);
 
--hdr(8)<= umem_wr;
 
--hdr(9)<= umem_val;
 
--hdr(10)<= umem_ack;
 
--    usb_rd_n   : out  std_logic;  -- enables out data if low (next byte detected by edge / in usb chip)
 
--    usb_wr     : out  std_logic;  -- write performed on edge \ of signal
 
--    usb_txe_n  : in   std_logic;  -- transmit enable (redy for new data if low)
 
--    usb_rxf_n  : in   std_logic;  -- rx fifo has data if low
 
 
 
hdr(3 downto 0) <= lad_o when lad_oe='1' else
 
                                   lad;
 
hdr(4)<= lframe_n;
 
hdr(5)<= lreset_n;
 
hdr(6)<= lclk;
 
hdr(7)<= lpc_ack;
 
 
 
--hdr(7 downto 0) <= lpc_data_o(7 downto 0);
 
 
 
hdr(8)<= lpc_val;
 
hdr(9)<= '1' when lpc_wr='1' and lpc_addr(7 downto 0)=x"88" else
 
                 '0';
 
hdr(10)<= resetn;
 
 
 
 
 
USB: usb2mem
USB: usb2mem
  port map(
  port map(
    clk25     => sys_clk, -- in  std_logic;
    clk25     => sys_clk, -- in  std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.