OpenCores
URL https://opencores.org/ocsvn/usb_fpga_1_2/usb_fpga_1_2/trunk

Subversion Repositories usb_fpga_1_2

[/] [usb_fpga_1_2/] [trunk/] [include/] [ztex-conf.h] - Diff between revs 8 and 9

Show entire file | Details | Blame | View Log

Rev 8 Rev 9
Line 73... Line 73...
#define[POST_FW_LOAD][POST_FW_LOAD
#define[POST_FW_LOAD][POST_FW_LOAD
...]
...]
*/
*/
#define[POST_FPGA_CONFIG][]
#define[POST_FPGA_CONFIG][]
 
 
 
/*
 
   On multi FPGA boards this macro is called betwen deselection and
 
   selection of a FPGA. This can be used to store / resore I/O contents.
 
   To append something to this macro use the following definition:
 
#define[PRE_FPGA_SELECT][PRE_FPGA_SELECT
 
...]
 
*/
 
#define[PRE_FPGA_SELECT][]
 
 
 
 
/*
/*
  Add a vedor request for endpoint 0,
  Add a vedor request for endpoint 0,
 
 
Line 385... Line 393...
#define[PRODUCT_ID_1][$1]
#define[PRODUCT_ID_1][$1]
#define[PRODUCT_ID_2][$2]
#define[PRODUCT_ID_2][$2]
#define[PRODUCT_ID_3][$3]
#define[PRODUCT_ID_3][$3]
#define[FWVER][$4]
#define[FWVER][$4]
#define[PRODUCT_IS][UFM-1_15]
#define[PRODUCT_IS][UFM-1_15]
#define[PRODUCT_STRING]["USB-FPGA Module 1.15"]]
#define[PRODUCT_STRING]["USB-FPGA Module 1.15"]
 
#define[NUMBER_OF_FPGAS][1]]
 
 
 
/*
 
   Identify as ZTEX USB FPGA Module 1.15y
 
   Usage: IDENTITY_UFM_1_10(<PRODUCT_ID_0>.<PRODUCT_ID_1><PRODUCT_ID_2>.<PRODUCT_ID_3>,<FW_VERSION>);
 
*/
 
#define[IDENTITY_UFM_1_15Y(][.$1.$2.$3,$4);][#define[PRODUCT_ID_0][$0]
 
#define[PRODUCT_ID_1][$1]
 
#define[PRODUCT_ID_2][$2]
 
#define[PRODUCT_ID_3][$3]
 
#define[FWVER][$4]
 
#define[PRODUCT_IS][UFM-1_15Y]
 
#define[PRODUCT_STRING]["USB-FPGA Module 1.15y"]
 
#define[NUMBER_OF_FPGAS][4]]
 
 
 
 
/*
/*
   Identify as ZTEX USB Module 1.0
   Identify as ZTEX USB Module 1.0
   Usage: IDENTITY_UM_1_0(<PRODUCT_ID_0>.<PRODUCT_ID_1><PRODUCT_ID_2>.<PRODUCT_ID_3>,<FW_VERSION>);
   Usage: IDENTITY_UM_1_0(<PRODUCT_ID_0>.<PRODUCT_ID_1><PRODUCT_ID_2>.<PRODUCT_ID_3>,<FW_VERSION>);
Line 425... Line 447...
/*
/*
   This macro defines the Product string. Limited to 31 characters.
   This macro defines the Product string. Limited to 31 characters.
*/
*/
#define[PRODUCT_STRING]["USB-FPGA Module"]
#define[PRODUCT_STRING]["USB-FPGA Module"]
 
 
 
 
/*
 
   This macro defines the Configuration string. Limited to 31 characters.
 
*/
 
#define[CONFIGURATION_STRING]["(unknown)"]
 
 
 
 
 
/*
/*
   This macro enables defines the Configuration string. Limited to 31 characters.
   This macro enables defines the Configuration string. Limited to 31 characters.
*/
*/
#define[CONFIGURATION_STRING]["(unknown)"]
#define[CONFIGURATION_STRING]["default"]
 
 
 
 
/*
/*
   This macro disables EEPROM interface and certain I2C functions (enabled by default)
   This macro disables EEPROM interface and certain I2C functions (enabled by default)
   Usage: DISABLE_EEPROM;
   Usage: DISABLE_EEPROM;
Line 481... Line 496...
   Usage: EXTENSION_EXP_1_10;
   Usage: EXTENSION_EXP_1_10;
*/
*/
#define[EXTENSION_EXP_1_10;][#define[EXP_1_10_ENABLED][1]]
#define[EXTENSION_EXP_1_10;][#define[EXP_1_10_ENABLED][1]]
 
 
/*
/*
   Enables high speed FPGA configuration for ZTEX USB-FPGA Module 1.15 and 1GbE-USB-FPGA Module 1.20
   Enables high speed FPGA configuration for ZTEX USB-FPGA Module 1.15 and 1.15y
   Usage: ENABLE_HS_FPGA_CONF(<ENDPOINT>);
   Usage: ENABLE_HS_FPGA_CONF(<ENDPOINT>);
        <endpoint>      endpoint which shall be used (any bulk output can be used)
        <endpoint>      endpoint which shall be used (any bulk output can be used)
*/
*/
#define[ENABLE_HS_FPGA_CONF(][);][
#define[ENABLE_HS_FPGA_CONF(][);][
#ifeq[$0][2]
#ifeq[$0][2]
Line 500... Line 515...
   This macro disables MAC EEPROM support, if available
   This macro disables MAC EEPROM support, if available
   Usage: MAC_EEPROM_DISABLE;
   Usage: MAC_EEPROM_DISABLE;
*/
*/
#define[DISABLE_MAC_EEPROM;][#define[EEPROM_MAC_DISABLED][1]]
#define[DISABLE_MAC_EEPROM;][#define[EEPROM_MAC_DISABLED][1]]
 
 
 
/*
 
   Enables detection of USB-FPGA Modules 1.15x. This avoids some warnings and makes the variable is_ufm_1_15x available.
 
   Usage: ENABLE_UFM_1_15X_DETECTION;
 
*/
 
#define[ENABLE_UFM_1_15X_DETECTION;][#define[UFM_1_15X_DETECTION_ENABLED][1]]
 
 
#endif
#endif
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.