OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [tags/] [rel_00_04_alpha/] [RTL/] [serialInterfaceEngine/] [processRxByte.v] - Diff between revs 5 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 7
Line 40... Line 40...
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// $Id: processRxByte.v,v 1.2 2004-12-18 14:36:15 sfielding Exp $
// $Id: processRxByte.v,v 1.3 2004-12-31 14:40:43 sfielding Exp $
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
//
//
Line 172... Line 172...
  next_RXDataByteCnt <= RXDataByteCnt;
  next_RXDataByteCnt <= RXDataByteCnt;
  next_processRxByteRdy <= processRxByteRdy;
  next_processRxByteRdy <= processRxByteRdy;
  case (CurrState_prRxByte)  // synopsys parallel_case full_case
  case (CurrState_prRxByte)  // synopsys parallel_case full_case
    `CHK_ST:
    `CHK_ST:
    begin
    begin
      if (RXByteStMachCurrState == `TOKEN_BYTE_ST)
      if (RXByteStMachCurrState == `HS_BYTE_ST)
      begin
 
        NextState_prRxByte <= `TOKEN_WAIT_CRC;
 
      end
 
      else if (RXByteStMachCurrState == `HS_BYTE_ST)
 
      begin
      begin
        NextState_prRxByte <= `HSHAKE_CHK;
        NextState_prRxByte <= `HSHAKE_CHK;
      end
      end
      else if (RXByteStMachCurrState == `CHECK_PID_ST)
      else if (RXByteStMachCurrState == `TOKEN_BYTE_ST)
      begin
      begin
        NextState_prRxByte <= `CHK_PID_DO_CHK;
        NextState_prRxByte <= `TOKEN_WAIT_CRC;
      end
      end
      else if (RXByteStMachCurrState == `CHECK_SYNC_ST)
      else if (RXByteStMachCurrState == `DATA_BYTE_ST)
      begin
      begin
        NextState_prRxByte <= `CHK_SYNC_DO;
        NextState_prRxByte <= `DATA_WAIT_CRC;
      end
      end
      else if (RXByteStMachCurrState == `IDLE_BYTE_ST)
      else if (RXByteStMachCurrState == `IDLE_BYTE_ST)
      begin
      begin
        NextState_prRxByte <= `IDLE_CHK_START;
        NextState_prRxByte <= `IDLE_CHK_START;
      end
      end
      else if (RXByteStMachCurrState == `DATA_BYTE_ST)
      else if (RXByteStMachCurrState == `CHECK_SYNC_ST)
      begin
      begin
        NextState_prRxByte <= `DATA_WAIT_CRC;
        NextState_prRxByte <= `CHK_SYNC_DO;
 
      end
 
      else if (RXByteStMachCurrState == `CHECK_PID_ST)
 
      begin
 
        NextState_prRxByte <= `CHK_PID_DO_CHK;
      end
      end
    end
    end
    `START_PRBY:
    `START_PRBY:
    begin
    begin
      next_RxByte <= 8'h00;
      next_RxByte <= 8'h00;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.