OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [tags/] [rel_01_00/] [RTL/] [hostSlaveMux/] [hostSlaveMux.v] - Diff between revs 9 and 18

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 18
Line 4... Line 4...
////                                                              ////
////                                                              ////
//// This file is part of the usbhostslave opencores effort.
//// This file is part of the usbhostslave opencores effort.
//// <http://www.opencores.org/cores//>                           ////
//// <http://www.opencores.org/cores//>                           ////
////                                                              ////
////                                                              ////
//// Module Description:                                          ////
//// Module Description:                                          ////
//// 
//// Controls the select line for the mux that enables the sharing
 
//// of a single SerialInterfaceEgine between the hostController
 
//// and slaveController
 
//// Also a dumping area for any features common to host and slave 
 
//// operation. That is reset control and version number report.
////                                                              ////
////                                                              ////
//// To Do:                                                       ////
//// To Do:                                                       ////
//// 
//// 
////                                                              ////
////                                                              ////
//// Author(s):                                                   ////
//// Author(s):                                                   ////
Line 63... Line 67...
  dataIn,
  dataIn,
  dataOut,
  dataOut,
  address,
  address,
  writeEn,
  writeEn,
  strobe_i,
  strobe_i,
  clk,
  busClk,
  rst,
  usbClk,
  hostSlaveMuxSel  );
  hostSlaveMuxSel,
 
  rstFromWire,
 
  rstSyncToBusClkOut,
 
  rstSyncToUsbClkOut
 
);
 
 
 
 
output [7:0] SIEPortCtrlInToSIE;
output [7:0] SIEPortCtrlInToSIE;
input [7:0] SIEPortCtrlInFromHost;
input [7:0] SIEPortCtrlInFromHost;
input [7:0] SIEPortCtrlInFromSlave;
input [7:0] SIEPortCtrlInFromSlave;
Line 88... Line 96...
//hostSlaveMuxBI
//hostSlaveMuxBI
input [7:0] dataIn;
input [7:0] dataIn;
input address;
input address;
input writeEn;
input writeEn;
input strobe_i;
input strobe_i;
input clk;
input busClk;
input rst;
input usbClk;
 
input rstFromWire;
 
output rstSyncToBusClkOut;
 
output rstSyncToUsbClkOut;
output [7:0] dataOut;
output [7:0] dataOut;
input hostSlaveMuxSel;
input hostSlaveMuxSel;
 
 
reg [7:0] SIEPortCtrlInToSIE;
reg [7:0] SIEPortCtrlInToSIE;
wire [7:0] SIEPortCtrlInFromHost;
wire [7:0] SIEPortCtrlInFromHost;
Line 113... Line 124...
//hostSlaveMuxBI
//hostSlaveMuxBI
wire [7:0] dataIn;
wire [7:0] dataIn;
wire address;
wire address;
wire writeEn;
wire writeEn;
wire strobe_i;
wire strobe_i;
wire clk;
wire busClk;
wire rst;
wire usbClk;
 
wire rstSyncToBusClkOut;
 
wire rstSyncToUsbClkOut;
 
wire rstFromWire;
wire [7:0] dataOut;
wire [7:0] dataOut;
wire hostSlaveMuxSel;
wire hostSlaveMuxSel;
 
 
//internal wires and regs
//internal wires and regs
wire hostMode;
wire hostMode;
Line 157... Line 171...
  .dataIn(dataIn),
  .dataIn(dataIn),
  .dataOut(dataOut),
  .dataOut(dataOut),
  .address(address),
  .address(address),
  .writeEn(writeEn),
  .writeEn(writeEn),
  .strobe_i(strobe_i),
  .strobe_i(strobe_i),
  .clk(clk),
  .busClk(busClk),
  .rst(rst),
  .usbClk(usbClk),
  .hostMode(hostMode),
  .hostMode(hostMode),
  .hostSlaveMuxSel(hostSlaveMuxSel)  );
  .hostSlaveMuxSel(hostSlaveMuxSel),
 
  .rstFromWire(rstFromWire),
 
  .rstSyncToBusClkOut(rstSyncToBusClkOut),
 
  .rstSyncToUsbClkOut(rstSyncToUsbClkOut) );
 
 
 
 
endmodule
endmodule
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.