OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [tags/] [rel_01_01/] [RTL/] [include/] [usbHostControl_h.v] - Diff between revs 5 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 7
Line 1... Line 1...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
// usbHostControl_h.v                                          
// usbHostControl_h.v                                          
// $Id: usbHostControl_h.v,v 1.2 2004-12-18 14:36:13 sfielding Exp $
// $Id: usbHostControl_h.v,v 1.3 2004-12-31 14:40:42 sfielding Exp $
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
// Revision 1.1.1.1  2004/10/11 04:00:57  sfielding
// Revision 1.1.1.1  2004/10/11 04:00:57  sfielding
Line 58... Line 58...
 
 
//TXSOFEnableIndices
//TXSOFEnableIndices
`define SOF_EN_BIT 0
`define SOF_EN_BIT 0
 
 
//SOFTimeConstants 
//SOFTimeConstants 
`define SOF_TX_TIME 80     //Fix this. Need correct SOF TX interval
//`define SOF_TX_TIME 80     //Fix this. Need correct SOF TX interval
`define SOF_TX_MARGIN 2
`define SOF_TX_TIME 16'hbb80     //Correct SOF interval for 48MHz clock
 
//`define SOF_TX_MARGIN 2 
 
`define SOF_TX_MARGIN 16'h0190 //This is the transmission time for 100 bytes. May need to tweak
 
 
//Host RXStatusRegIndices 
//Host RXStatusRegIndices 
`define HC_CRC_ERROR_BIT 0
`define HC_CRC_ERROR_BIT 0
`define HC_BIT_STUFF_ERROR_BIT 1
`define HC_BIT_STUFF_ERROR_BIT 1
`define HC_RX_OVERFLOW_BIT 2
`define HC_RX_OVERFLOW_BIT 2
Line 72... Line 74...
`define HC_STALL_RXED_BIT 5
`define HC_STALL_RXED_BIT 5
`define HC_ACK_RXED_BIT 6
`define HC_ACK_RXED_BIT 6
`define HC_DATA_SEQUENCE_BIT 7
`define HC_DATA_SEQUENCE_BIT 7
 
 
`endif //usbHostControl_h_vdefined
`endif //usbHostControl_h_vdefined
 No newline at end of file
 No newline at end of file
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.