OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [trunk/] [RTL/] [serialInterfaceEngine/] [processRxBit.v] - Diff between revs 14 and 22

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 22
Line 1... Line 1...
 
 
 
// File        : ../RTL/serialInterfaceEngine/processRxBit.v
 
// Generated   : 10/06/06 19:35:28
 
// From        : ../RTL/serialInterfaceEngine/processRxBit.asf
 
// By          : FSM2VHDL ver. 5.0.0.9
 
 
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// processrxbit
//// processrxbit
////                                                              ////
////                                                              ////
//// This file is part of the usbhostslave opencores effort.
//// This file is part of the usbhostslave opencores effort.
Line 40... Line 45...
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
`timescale 1ns / 1ps
`include "timescale.v"
`include "usbSerialInterfaceEngine_h.v"
`include "usbSerialInterfaceEngine_h.v"
 
 
 
 
module processRxBit (clk, JBit, KBit, processRxBitRdy, processRxBitsWEn, processRxByteRdy, processRxByteWEn, resumeDetected, rst, RxBitsIn, RxCtrlOut, RxDataOut, RxWireActive);
module processRxBit (JBit, KBit, RxBitsIn, RxCtrlOut, RxDataOut, RxWireActive, clk, processRxBitRdy, processRxBitsWEn, processRxByteRdy, processRxByteWEn, resumeDetected, rst);
input   clk;
 
input   [1:0]JBit;
input   [1:0]JBit;
input   [1:0]KBit;
input   [1:0]KBit;
 
input   [1:0] RxBitsIn;
 
input   RxWireActive;
 
input   clk;
input   processRxBitsWEn;
input   processRxBitsWEn;
input   processRxByteRdy;
input   processRxByteRdy;
input   rst;
input   rst;
input   [1:0]RxBitsIn;
output  [7:0] RxCtrlOut;
input   RxWireActive;
output  [7:0] RxDataOut;
output  processRxBitRdy;
output  processRxBitRdy;
output  processRxByteWEn;
output  processRxByteWEn;
output  resumeDetected;
output  resumeDetected;
output  [7:0]RxCtrlOut;
 
output  [7:0]RxDataOut;
 
 
 
wire    clk;
 
wire    [1:0]JBit;
wire    [1:0]JBit;
wire    [1:0]KBit;
wire    [1:0]KBit;
 
wire    [1:0] RxBitsIn;
 
reg     [7:0] RxCtrlOut, next_RxCtrlOut;
 
reg     [7:0] RxDataOut, next_RxDataOut;
 
wire    RxWireActive;
 
wire    clk;
reg     processRxBitRdy, next_processRxBitRdy;
reg     processRxBitRdy, next_processRxBitRdy;
wire    processRxBitsWEn;
wire    processRxBitsWEn;
wire    processRxByteRdy;
wire    processRxByteRdy;
reg     processRxByteWEn, next_processRxByteWEn;
reg     processRxByteWEn, next_processRxByteWEn;
reg     resumeDetected, next_resumeDetected;
reg     resumeDetected, next_resumeDetected;
wire    rst;
wire    rst;
wire    [1:0]RxBitsIn;
 
reg     [7:0]RxCtrlOut, next_RxCtrlOut;
 
reg     [7:0]RxDataOut, next_RxDataOut;
 
wire    RxWireActive;
 
 
 
// diagram signals declarations
// diagram signals declarations
reg bitStuffError, next_bitStuffError;
 
reg  [1:0]oldRXBits, next_oldRXBits;
 
reg  [4:0]resumeWaitCnt, next_resumeWaitCnt;
 
reg  [3:0]RXBitCount, next_RXBitCount;
reg  [3:0]RXBitCount, next_RXBitCount;
reg  [1:0]RxBits, next_RxBits;
 
reg  [1:0]RXBitStMachCurrState, next_RXBitStMachCurrState;
reg  [1:0]RXBitStMachCurrState, next_RXBitStMachCurrState;
reg  [7:0]RXByte, next_RXByte;
reg  [7:0]RXByte, next_RXByte;
reg  [3:0]RXSameBitCount, next_RXSameBitCount;
reg  [3:0]RXSameBitCount, next_RXSameBitCount;
 
reg  [1:0]RxBits, next_RxBits;
 
reg  bitStuffError, next_bitStuffError;
 
reg  [1:0]oldRXBits, next_oldRXBits;
 
reg  [4:0]resumeWaitCnt, next_resumeWaitCnt;
 
 
// BINARY ENCODED state machine: prRxBit
// BINARY ENCODED state machine: prRxBit
// State codes definitions:
// State codes definitions:
`define START 4'b0000
`define START 4'b0000
`define IDLE_FIRST_BIT 4'b0001
`define IDLE_FIRST_BIT 4'b0001
Line 101... Line 106...
`define RES_END_CHK1 4'b1011
`define RES_END_CHK1 4'b1011
`define IDLE_WAIT_PRB_RDY 4'b1100
`define IDLE_WAIT_PRB_RDY 4'b1100
`define DATA_RX_WAIT_PRB_RDY 4'b1101
`define DATA_RX_WAIT_PRB_RDY 4'b1101
`define DATA_RX_ERROR_WAIT_RDY 4'b1110
`define DATA_RX_ERROR_WAIT_RDY 4'b1110
 
 
reg [3:0]CurrState_prRxBit, NextState_prRxBit;
reg [3:0] CurrState_prRxBit;
 
reg [3:0] NextState_prRxBit;
 
 
 
 
 
//--------------------------------------------------------------------
// Machine: prRxBit
// Machine: prRxBit
 
//--------------------------------------------------------------------
// NextState logic (combinatorial)
//----------------------------------
always @ (RxBits or processRxBitsWEn or JBit or RxBitsIn or KBit or RxWireActive or RXSameBitCount or RXBitCount or RXByte or processRxByteRdy or resumeWaitCnt or processRxByteWEn or RxCtrlOut or RxDataOut or resumeDetected or RXBitStMachCurrState or oldRXBits or bitStuffError or processRxBitRdy or CurrState_prRxBit)
// Next State Logic (combinatorial)
begin
//----------------------------------
 
always @ (RxBitsIn or RxBits or oldRXBits or RXSameBitCount or RXBitCount or RXByte or JBit or KBit or resumeWaitCnt or processRxBitsWEn or RXBitStMachCurrState or RxWireActive or processRxByteRdy or bitStuffError or processRxByteWEn or RxCtrlOut or RxDataOut or resumeDetected or processRxBitRdy or CurrState_prRxBit)
 
begin : prRxBit_NextState
  NextState_prRxBit <= CurrState_prRxBit;
  NextState_prRxBit <= CurrState_prRxBit;
  // Set default values for outputs and signals
  // Set default values for outputs and signals
  next_processRxByteWEn <= processRxByteWEn;
  next_processRxByteWEn <= processRxByteWEn;
  next_RxCtrlOut <= RxCtrlOut;
  next_RxCtrlOut <= RxCtrlOut;
  next_RxDataOut <= RxDataOut;
  next_RxDataOut <= RxDataOut;
Line 124... Line 133...
  next_oldRXBits <= oldRXBits;
  next_oldRXBits <= oldRXBits;
  next_RXByte <= RXByte;
  next_RXByte <= RXByte;
  next_bitStuffError <= bitStuffError;
  next_bitStuffError <= bitStuffError;
  next_resumeWaitCnt <= resumeWaitCnt;
  next_resumeWaitCnt <= resumeWaitCnt;
  next_processRxBitRdy <= processRxBitRdy;
  next_processRxBitRdy <= processRxBitRdy;
  case (CurrState_prRxBit)  // synopsys parallel_case full_case
        case (CurrState_prRxBit)
    `START:
    `START:
    begin
    begin
      next_processRxByteWEn <= 1'b0;
      next_processRxByteWEn <= 1'b0;
      next_RxCtrlOut <= 8'h00;
      next_RxCtrlOut <= 8'h00;
      next_RxDataOut <= 8'h00;
      next_RxDataOut <= 8'h00;
Line 143... Line 152...
      next_resumeWaitCnt <= 5'h0;
      next_resumeWaitCnt <= 5'h0;
      next_processRxBitRdy <= 1'b1;
      next_processRxBitRdy <= 1'b1;
      NextState_prRxBit <= `WAIT_BITS;
      NextState_prRxBit <= `WAIT_BITS;
    end
    end
    `WAIT_BITS:
    `WAIT_BITS:
    begin
                        if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `WAIT_RESUME_ST))
      if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `DATA_RECEIVE_BIT_ST))
 
      begin
 
        NextState_prRxBit <= `DATA_RX_CHK_SE0;
 
        next_RxBits <= RxBitsIn;
 
        next_processRxBitRdy <= 1'b0;
 
      end
 
      else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `WAIT_RESUME_ST))
 
      begin
      begin
        NextState_prRxBit <= `RES_RX_CHK;
        NextState_prRxBit <= `RES_RX_CHK;
        next_RxBits <= RxBitsIn;
        next_RxBits <= RxBitsIn;
        next_processRxBitRdy <= 1'b0;
        next_processRxBitRdy <= 1'b0;
      end
      end
      else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `RESUME_END_WAIT_ST))
                        else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `DATA_RECEIVE_BIT_ST))
      begin
      begin
        NextState_prRxBit <= `RES_END_CHK1;
                                NextState_prRxBit <= `DATA_RX_CHK_SE0;
        next_RxBits <= RxBitsIn;
        next_RxBits <= RxBitsIn;
        next_processRxBitRdy <= 1'b0;
        next_processRxBitRdy <= 1'b0;
      end
      end
      else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `IDLE_BIT_ST))
      else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `IDLE_BIT_ST))
      begin
      begin
        NextState_prRxBit <= `IDLE_CHK_KBIT;
        NextState_prRxBit <= `IDLE_CHK_KBIT;
        next_RxBits <= RxBitsIn;
        next_RxBits <= RxBitsIn;
        next_processRxBitRdy <= 1'b0;
        next_processRxBitRdy <= 1'b0;
      end
      end
 
                        else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `RESUME_END_WAIT_ST))
 
                        begin
 
                                NextState_prRxBit <= `RES_END_CHK1;
 
                                next_RxBits <= RxBitsIn;
 
                                next_processRxBitRdy <= 1'b0;
    end
    end
    `IDLE_FIRST_BIT:
    `IDLE_FIRST_BIT:
    begin
    begin
      next_processRxByteWEn <= 1'b0;
      next_processRxByteWEn <= 1'b0;
      next_RXBitStMachCurrState <= `DATA_RECEIVE_BIT_ST;
      next_RXBitStMachCurrState <= `DATA_RECEIVE_BIT_ST;
Line 182... Line 189...
      next_RXByte <= 8'h00;
      next_RXByte <= 8'h00;
      NextState_prRxBit <= `WAIT_BITS;
      NextState_prRxBit <= `WAIT_BITS;
      next_processRxBitRdy <= 1'b1;
      next_processRxBitRdy <= 1'b1;
    end
    end
    `IDLE_CHK_KBIT:
    `IDLE_CHK_KBIT:
    begin
 
      if ((RxBits == KBit) && (RxWireActive == 1'b1))
      if ((RxBits == KBit) && (RxWireActive == 1'b1))
      begin
 
        NextState_prRxBit <= `IDLE_WAIT_PRB_RDY;
        NextState_prRxBit <= `IDLE_WAIT_PRB_RDY;
      end
 
      else
      else
      begin
      begin
        NextState_prRxBit <= `WAIT_BITS;
        NextState_prRxBit <= `WAIT_BITS;
        next_processRxBitRdy <= 1'b1;
        next_processRxBitRdy <= 1'b1;
      end
      end
    end
 
    `IDLE_WAIT_PRB_RDY:
    `IDLE_WAIT_PRB_RDY:
    begin
 
      if (processRxByteRdy == 1'b1)
      if (processRxByteRdy == 1'b1)
      begin
      begin
        NextState_prRxBit <= `IDLE_FIRST_BIT;
        NextState_prRxBit <= `IDLE_FIRST_BIT;
        next_RxDataOut <= 8'h00;
        next_RxDataOut <= 8'h00;
        //redundant data
        //redundant data
        next_RxCtrlOut <= `DATA_START;
        next_RxCtrlOut <= `DATA_START;
        //start of packet
        //start of packet
        next_processRxByteWEn <= 1'b1;
        next_processRxByteWEn <= 1'b1;
      end
      end
    end
 
    `DATA_RX_LAST_BIT:
    `DATA_RX_LAST_BIT:
    begin
    begin
      next_processRxByteWEn <= 1'b0;
      next_processRxByteWEn <= 1'b0;
      next_RXBitStMachCurrState <= `IDLE_BIT_ST;
      next_RXBitStMachCurrState <= `IDLE_BIT_ST;
      NextState_prRxBit <= `WAIT_BITS;
      NextState_prRxBit <= `WAIT_BITS;
Line 216... Line 217...
    end
    end
    `DATA_RX_CHK_SE0:
    `DATA_RX_CHK_SE0:
    begin
    begin
      next_bitStuffError <= 1'b0;
      next_bitStuffError <= 1'b0;
      if (RxBits == `SE0)
      if (RxBits == `SE0)
      begin
 
        NextState_prRxBit <= `DATA_RX_WAIT_PRB_RDY;
        NextState_prRxBit <= `DATA_RX_WAIT_PRB_RDY;
      end
 
      else
      else
      begin
      begin
        NextState_prRxBit <= `DATA_RX_DATA_DESTUFF;
        NextState_prRxBit <= `DATA_RX_DATA_DESTUFF;
        if (RxBits == oldRXBits)                 //if the current 'RxBits' are the same as the old 'RxBits', then
        if (RxBits == oldRXBits)                 //if the current 'RxBits' are the same as the old 'RxBits', then
        begin
        begin
Line 237... Line 236...
        if (RXBitCount != `MAX_CONSEC_SAME_BITS_PLUS1) begin
        if (RXBitCount != `MAX_CONSEC_SAME_BITS_PLUS1) begin
        next_processRxBitRdy <= 1'b1;
        next_processRxBitRdy <= 1'b1;
        //early indication of ready
        //early indication of ready
        end
        end
        next_RXByte <= { 1'b1, RXByte[7:1]};
        next_RXByte <= { 1'b1, RXByte[7:1]};
        //RZ bit <= 1 (ie no change in 'RxBits')
                                        //RZ bit = 1 (ie no change in 'RxBits')
        end
        end
        end
        end
        else                                            //else current 'RxBits' are different from old 'RxBits'
        else                                            //else current 'RxBits' are different from old 'RxBits'
        begin
        begin
        if (RXSameBitCount != `MAX_CONSEC_SAME_BITS)  //if this is not the RZ 0 bit after 6 consecutive RZ 1s, then
        if (RXSameBitCount != `MAX_CONSEC_SAME_BITS)  //if this is not the RZ 0 bit after 6 consecutive RZ 1s, then
Line 250... Line 249...
        if (RXBitCount != 4'h7) begin
        if (RXBitCount != 4'h7) begin
        next_processRxBitRdy <= 1'b1;
        next_processRxBitRdy <= 1'b1;
        //early indication of ready
        //early indication of ready
        end
        end
        next_RXByte <= {1'b0, RXByte[7:1]};
        next_RXByte <= {1'b0, RXByte[7:1]};
        //RZ bit <= 0 (ie current'RxBits' is different than old 'RxBits')
                                        //RZ bit = 0 (ie current'RxBits' is different than old 'RxBits')
        end
        end
        next_RXSameBitCount <= 4'h0;
        next_RXSameBitCount <= 4'h0;
        //reset 'RXSameBitCount'
        //reset 'RXSameBitCount'
        end
        end
        next_oldRXBits <= RxBits;
        next_oldRXBits <= RxBits;
      end
      end
    end
    end
    `DATA_RX_WAIT_PRB_RDY:
    `DATA_RX_WAIT_PRB_RDY:
    begin
 
      if (processRxByteRdy == 1'b1)
      if (processRxByteRdy == 1'b1)
      begin
      begin
        NextState_prRxBit <= `DATA_RX_LAST_BIT;
        NextState_prRxBit <= `DATA_RX_LAST_BIT;
        next_RxDataOut <= 8'h00;
        next_RxDataOut <= 8'h00;
        //redundant data
        //redundant data
        next_RxCtrlOut <= `DATA_STOP;
        next_RxCtrlOut <= `DATA_STOP;
        //end of packet
        //end of packet
        next_processRxByteWEn <= 1'b1;
        next_processRxByteWEn <= 1'b1;
      end
      end
    end
 
    `DATA_RX_DATA_DESTUFF:
    `DATA_RX_DATA_DESTUFF:
    begin
 
      if (RXBitCount == 4'h8 & bitStuffError == 1'b0)
      if (RXBitCount == 4'h8 & bitStuffError == 1'b0)
      begin
 
        NextState_prRxBit <= `DATA_RX_BYTE_WAIT_RDY;
        NextState_prRxBit <= `DATA_RX_BYTE_WAIT_RDY;
      end
 
      else if (bitStuffError == 1'b1)
      else if (bitStuffError == 1'b1)
      begin
 
        NextState_prRxBit <= `DATA_RX_ERROR_WAIT_RDY;
        NextState_prRxBit <= `DATA_RX_ERROR_WAIT_RDY;
      end
 
      else
      else
      begin
      begin
        NextState_prRxBit <= `WAIT_BITS;
        NextState_prRxBit <= `WAIT_BITS;
        next_processRxBitRdy <= 1'b1;
        next_processRxBitRdy <= 1'b1;
      end
      end
    end
 
    `DATA_RX_BYTE_SEND2:
    `DATA_RX_BYTE_SEND2:
    begin
    begin
      next_processRxByteWEn <= 1'b0;
      next_processRxByteWEn <= 1'b0;
      NextState_prRxBit <= `WAIT_BITS;
      NextState_prRxBit <= `WAIT_BITS;
      next_processRxBitRdy <= 1'b1;
      next_processRxBitRdy <= 1'b1;
    end
    end
    `DATA_RX_BYTE_WAIT_RDY:
    `DATA_RX_BYTE_WAIT_RDY:
    begin
 
      if (processRxByteRdy == 1'b1)
      if (processRxByteRdy == 1'b1)
      begin
      begin
        NextState_prRxBit <= `DATA_RX_BYTE_SEND2;
        NextState_prRxBit <= `DATA_RX_BYTE_SEND2;
        next_RXBitCount <= 4'h0;
        next_RXBitCount <= 4'h0;
        next_RxDataOut <= RXByte;
        next_RxDataOut <= RXByte;
        next_RxCtrlOut <= `DATA_STREAM;
        next_RxCtrlOut <= `DATA_STREAM;
        next_processRxByteWEn <= 1'b1;
        next_processRxByteWEn <= 1'b1;
      end
      end
    end
 
    `DATA_RX_ERROR_CHK_RES:
    `DATA_RX_ERROR_CHK_RES:
    begin
    begin
      next_processRxByteWEn <= 1'b0;
      next_processRxByteWEn <= 1'b0;
      if (RxBits == JBit)                           //if current bit is a JBit, then
      if (RxBits == JBit)                           //if current bit is a JBit, then
      next_RXBitStMachCurrState <= `IDLE_BIT_ST;
      next_RXBitStMachCurrState <= `IDLE_BIT_ST;
Line 319... Line 308...
      end
      end
      NextState_prRxBit <= `WAIT_BITS;
      NextState_prRxBit <= `WAIT_BITS;
      next_processRxBitRdy <= 1'b1;
      next_processRxBitRdy <= 1'b1;
    end
    end
    `DATA_RX_ERROR_WAIT_RDY:
    `DATA_RX_ERROR_WAIT_RDY:
    begin
 
      if (processRxByteRdy == 1'b1)
      if (processRxByteRdy == 1'b1)
      begin
      begin
        NextState_prRxBit <= `DATA_RX_ERROR_CHK_RES;
        NextState_prRxBit <= `DATA_RX_ERROR_CHK_RES;
        next_RxDataOut <= 8'h00;
        next_RxDataOut <= 8'h00;
        //redundant data
        //redundant data
        next_RxCtrlOut <= `DATA_BIT_STUFF_ERROR;
        next_RxCtrlOut <= `DATA_BIT_STUFF_ERROR;
        next_processRxByteWEn <= 1'b1;
        next_processRxByteWEn <= 1'b1;
      end
      end
    end
 
    `RES_RX_CHK:
    `RES_RX_CHK:
    begin
    begin
      if (RxBits != KBit)  //can only be a resume if line remains in Kbit state
      if (RxBits != KBit)  //can only be a resume if line remains in Kbit state
      next_RXBitStMachCurrState <= `IDLE_BIT_ST;
      next_RXBitStMachCurrState <= `IDLE_BIT_ST;
      else
      else
Line 361... Line 348...
      next_processRxBitRdy <= 1'b1;
      next_processRxBitRdy <= 1'b1;
    end
    end
  endcase
  endcase
end
end
 
 
 
//----------------------------------
// Current State Logic (sequential)
// Current State Logic (sequential)
 
//----------------------------------
always @ (posedge clk)
always @ (posedge clk)
begin
begin : prRxBit_CurrentState
  if (rst)
  if (rst)
    CurrState_prRxBit <= `START;
    CurrState_prRxBit <= `START;
  else
  else
    CurrState_prRxBit <= NextState_prRxBit;
    CurrState_prRxBit <= NextState_prRxBit;
end
end
 
 
 
//----------------------------------
// Registered outputs logic
// Registered outputs logic
 
//----------------------------------
always @ (posedge clk)
always @ (posedge clk)
begin
begin : prRxBit_RegOutput
  if (rst)
  if (rst)
  begin
  begin
    processRxByteWEn <= 1'b0;
 
    RxCtrlOut <= 8'h00;
 
    RxDataOut <= 8'h00;
 
    resumeDetected <= 1'b0;
 
    processRxBitRdy <= 1'b1;
 
    RXBitStMachCurrState <= `IDLE_BIT_ST;
    RXBitStMachCurrState <= `IDLE_BIT_ST;
    RxBits <= 2'b00;
    RxBits <= 2'b00;
    RXSameBitCount <= 4'h0;
    RXSameBitCount <= 4'h0;
    RXBitCount <= 4'h0;
    RXBitCount <= 4'h0;
    oldRXBits <= 2'b00;
    oldRXBits <= 2'b00;
    RXByte <= 8'h00;
    RXByte <= 8'h00;
    bitStuffError <= 1'b0;
    bitStuffError <= 1'b0;
    resumeWaitCnt <= 5'h0;
    resumeWaitCnt <= 5'h0;
 
                processRxByteWEn <= 1'b0;
 
                RxCtrlOut <= 8'h00;
 
                RxDataOut <= 8'h00;
 
                resumeDetected <= 1'b0;
 
                processRxBitRdy <= 1'b1;
  end
  end
  else
  else
  begin
  begin
    processRxByteWEn <= next_processRxByteWEn;
 
    RxCtrlOut <= next_RxCtrlOut;
 
    RxDataOut <= next_RxDataOut;
 
    resumeDetected <= next_resumeDetected;
 
    processRxBitRdy <= next_processRxBitRdy;
 
    RXBitStMachCurrState <= next_RXBitStMachCurrState;
    RXBitStMachCurrState <= next_RXBitStMachCurrState;
    RxBits <= next_RxBits;
    RxBits <= next_RxBits;
    RXSameBitCount <= next_RXSameBitCount;
    RXSameBitCount <= next_RXSameBitCount;
    RXBitCount <= next_RXBitCount;
    RXBitCount <= next_RXBitCount;
    oldRXBits <= next_oldRXBits;
    oldRXBits <= next_oldRXBits;
    RXByte <= next_RXByte;
    RXByte <= next_RXByte;
    bitStuffError <= next_bitStuffError;
    bitStuffError <= next_bitStuffError;
    resumeWaitCnt <= next_resumeWaitCnt;
    resumeWaitCnt <= next_resumeWaitCnt;
 
                processRxByteWEn <= next_processRxByteWEn;
 
                RxCtrlOut <= next_RxCtrlOut;
 
                RxDataOut <= next_RxDataOut;
 
                resumeDetected <= next_resumeDetected;
 
                processRxBitRdy <= next_processRxBitRdy;
  end
  end
end
end
 
 
endmodule
endmodule
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.