OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [trunk/] [RTL/] [serialInterfaceEngine/] [processRxByte.v] - Diff between revs 9 and 18

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 18
Line 166... Line 166...
  next_RXDataByteCnt <= RXDataByteCnt;
  next_RXDataByteCnt <= RXDataByteCnt;
  next_processRxByteRdy <= processRxByteRdy;
  next_processRxByteRdy <= processRxByteRdy;
  case (CurrState_prRxByte)  // synopsys parallel_case full_case
  case (CurrState_prRxByte)  // synopsys parallel_case full_case
    `CHK_ST:
    `CHK_ST:
    begin
    begin
      if (RXByteStMachCurrState == `HS_BYTE_ST)
      if (RXByteStMachCurrState == `TOKEN_BYTE_ST)
      begin
 
        NextState_prRxByte <= `HSHAKE_CHK;
 
      end
 
      else if (RXByteStMachCurrState == `TOKEN_BYTE_ST)
 
      begin
      begin
        NextState_prRxByte <= `TOKEN_WAIT_CRC;
        NextState_prRxByte <= `TOKEN_WAIT_CRC;
      end
      end
      else if (RXByteStMachCurrState == `DATA_BYTE_ST)
      else if (RXByteStMachCurrState == `HS_BYTE_ST)
      begin
      begin
        NextState_prRxByte <= `DATA_WAIT_CRC;
        NextState_prRxByte <= `HSHAKE_CHK;
      end
      end
      else if (RXByteStMachCurrState == `IDLE_BYTE_ST)
      else if (RXByteStMachCurrState == `CHECK_PID_ST)
      begin
      begin
        NextState_prRxByte <= `IDLE_CHK_START;
        NextState_prRxByte <= `CHK_PID_DO_CHK;
      end
      end
      else if (RXByteStMachCurrState == `CHECK_SYNC_ST)
      else if (RXByteStMachCurrState == `CHECK_SYNC_ST)
      begin
      begin
        NextState_prRxByte <= `CHK_SYNC_DO;
        NextState_prRxByte <= `CHK_SYNC_DO;
      end
      end
      else if (RXByteStMachCurrState == `CHECK_PID_ST)
      else if (RXByteStMachCurrState == `IDLE_BYTE_ST)
      begin
      begin
        NextState_prRxByte <= `CHK_PID_DO_CHK;
        NextState_prRxByte <= `IDLE_CHK_START;
 
      end
 
      else if (RXByteStMachCurrState == `DATA_BYTE_ST)
 
      begin
 
        NextState_prRxByte <= `DATA_WAIT_CRC;
      end
      end
    end
    end
    `START_PRBY:
    `START_PRBY:
    begin
    begin
      next_RxByte <= 8'h00;
      next_RxByte <= 8'h00;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.