OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

[/] [versatile_mem_ctrl/] [trunk/] [bench/] [tb.v] - Diff between revs 35 and 80

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 35 Rev 80
Line 3... Line 3...
module versatile_mem_ctrl_tb
module versatile_mem_ctrl_tb
  (
  (
   output OK
   output OK
   );
   );
 
 
   reg    sdram_clk, wb_clk, wb_rst;
   reg         wb_clk, wb_rst;
 
   reg         sdram_clk, sdram_rst;
 
   reg         tb_rst;
 
 
   wire [31:0] wb0_dat_i;
   wire [31:0] wb0_dat_i;
   wire [3:0]  wb0_sel_i;
   wire [3:0]  wb0_sel_i;
   wire [31:0] wb0_adr_i;
   wire [31:0] wb0_adr_i;
   wire [2:0]  wb0_cti_i;
   wire [2:0]  wb0_cti_i;
Line 108... Line 110...
      .stb(wb0_stb_i),
      .stb(wb0_stb_i),
      .we (wb0_we_i),
      .we (wb0_we_i),
      .ack(wb0_ack_o),
      .ack(wb0_ack_o),
      .clk(wb_clk),
      .clk(wb_clk),
      .dat_i(wb0_dat_o),
      .dat_i(wb0_dat_o),
      .reset(wb_rst)
      .reset(tb_rst)
      );
      );
   wb1_ddr wb1i
   wb1_ddr wb1i
     (
     (
      .adr(wb1_adr_i),
      .adr(wb1_adr_i),
      .bte(wb1_bte_i),
      .bte(wb1_bte_i),
Line 123... Line 125...
      .stb(wb1_stb_i),
      .stb(wb1_stb_i),
      .we (wb1_we_i),
      .we (wb1_we_i),
      .ack(wb1_ack_o),
      .ack(wb1_ack_o),
      .clk(wb_clk),
      .clk(wb_clk),
      .dat_i(wb1_dat_o),
      .dat_i(wb1_dat_o),
      .reset(wb_rst)
      .reset(tb_rst)
      );
      );
   wb4_ddr wb4i
   wb4_ddr wb4i
     (
     (
      .adr(wb4_adr_i),
      .adr(wb4_adr_i),
      .bte(wb4_bte_i),
      .bte(wb4_bte_i),
Line 138... Line 140...
      .stb(wb4_stb_i),
      .stb(wb4_stb_i),
      .we (wb4_we_i),
      .we (wb4_we_i),
      .ack(wb4_ack_o),
      .ack(wb4_ack_o),
      .clk(wb_clk),
      .clk(wb_clk),
      .dat_i(wb4_dat_o),
      .dat_i(wb4_dat_o),
      .reset(wb_rst)
      .reset(tb_rst)
      );
      );
`endif
`endif
 
 
   versatile_mem_ctrl_top # (
   versatile_mem_ctrl_top # (
    .nr_of_wb_clk_domains(2),
    .nr_of_wb_clk_domains(2),
    .nr_of_wb_ports_clk0(2),
    .nr_of_wb_ports_clk0(1),
    .nr_of_wb_ports_clk1(1),
    .nr_of_wb_ports_clk1(1),
    .nr_of_wb_ports_clk2(0),
    .nr_of_wb_ports_clk2(0),
    .nr_of_wb_ports_clk3(0))
    .nr_of_wb_ports_clk3(0))
   dut (
   dut (
    .wb_adr_i_0({{wb0_adr_i[31:2],wb0_we_i,wb0_bte_i,wb0_cti_i},{wb1_adr_i[31:2],wb1_we_i,wb1_bte_i,wb1_cti_i}}),
    .wb_adr_i_0({{wb0_adr_i[31:2],wb0_we_i,wb0_bte_i,wb0_cti_i},{wb1_adr_i[31:2],wb1_we_i,wb1_bte_i,wb1_cti_i}}),
Line 278... Line 280...
   .rdqs_n(),
   .rdqs_n(),
   .odt()
   .odt()
   );
   );
`endif
`endif
 
 
 
   // Wishbone reset
   initial
   initial
     begin
     begin
        #0 wb_rst = 1'b1;
        #0 wb_rst = 1'b1;
        #200 wb_rst = 1'b1;
        #200 wb_rst = 1'b1;
        #200000 wb_rst = 1'b0;
        #200000 wb_rst = 1'b0;
     end
     end
 
 
 
   // SDRAM reset
 
   initial
 
     begin
 
        #0      sdram_rst = 1'b1;
 
        #200    sdram_rst = 1'b1;
 
        #200000 sdram_rst = 1'b0;
 
     end
 
 
 
   // Test bench reset
 
   initial
 
     begin
 
        #0      tb_rst = 1'b1;
 
        #200    tb_rst = 1'b1;
 
        //#200000 tb_rst = 1'b0;
 
        #300000 tb_rst = 1'b0;   // hold reset to let initialization complete
 
     end
 
 
 
   // Wishbone clock
   initial
   initial
     begin
     begin
        #0 wb_clk = 1'b0;
        #0 wb_clk = 1'b0;
        forever
        forever
          #200 wb_clk = !wb_clk;   // 25MHz
          //#200 wb_clk = !wb_clk;   // 2.5 MHz
 
          #20 wb_clk = !wb_clk;   // 25 MHz
     end
     end
 
 
 
   // SDRAM clock
   initial
   initial
     begin
     begin
        #0 sdram_clk = 1'b0;
        #0 sdram_clk = 1'b0;
        forever
        forever
          #4 sdram_clk = !sdram_clk;   // 125MHz
          //#4 sdram_clk = !sdram_clk;   // 125 MHz
 
          #5 sdram_clk = !sdram_clk;   // 100 MHz
     end
     end
 
 
endmodule // versatile_mem_ctrl_tb
endmodule // versatile_mem_ctrl_tb
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.