OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

[/] [versatile_mem_ctrl/] [trunk/] [sim/] [rtl_sim/] [bin/] [sim_altera.tcl] - Diff between revs 19 and 28

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 19 Rev 28
Line 18... Line 18...
 
 
# Compile Altera libraries
# Compile Altera libraries
if {![file exists altera_primitives] || $FORCE_LIBRARY_RECOMPILE} {
if {![file exists altera_primitives] || $FORCE_LIBRARY_RECOMPILE} {
vlib altera_primitives
vlib altera_primitives
vmap altera_primitives altera_primitives
vmap altera_primitives altera_primitives
vcom -work altera_primitives /opt/altera9.0/quartus/eda/sim_lib/altera_primitives_components.vhd
vcom -work altera_primitives /opt/altera9.1/quartus/eda/sim_lib/altera_primitives_components.vhd
vcom -work altera_primitives /opt/altera9.0/quartus/eda/sim_lib/altera_primitives.vhd
vcom -work altera_primitives /opt/altera9.1/quartus/eda/sim_lib/altera_primitives.vhd
}
}
if {![file exists altera_mf] || $FORCE_LIBRARY_RECOMPILE} {
if {![file exists altera_mf] || $FORCE_LIBRARY_RECOMPILE} {
vlib altera_mf
vlib altera_mf
vmap altera_mf altera_mf
vmap altera_mf altera_mf
vcom -work altera_mf /opt/altera9.0/quartus/eda/sim_lib/altera_mf_components.vhd
vcom -work altera_mf /opt/altera9.1/quartus/eda/sim_lib/altera_mf_components.vhd
vcom -work altera_mf /opt/altera9.0/quartus/eda/sim_lib/altera_mf.vhd
vcom -work altera_mf /opt/altera9.1/quartus/eda/sim_lib/altera_mf.vhd
 
}
 
if {![file exists lpm] || $FORCE_LIBRARY_RECOMPILE} {
 
vlib lpm
 
vmap lpm lpm
 
vlog -work lpm /opt/altera9.1/quartus/eda/sim_lib/220model.v
}
}
 
 
# Compile project source code
# Compile project source code
vlog ../../../rtl/verilog/versatile_mem_ctrl_ip.v +incdir+../../../rtl/verilog/
vlog ../../../rtl/verilog/versatile_mem_ctrl_ip.v +incdir+../../../rtl/verilog/
 
 
Line 42... Line 47...
 
 
# Invoke the simulator
# Invoke the simulator
# -gui      Open the GUI without loading a design
# -gui      Open the GUI without loading a design
# -novopt   Force incremental mode (pre-6.0 behavior)
# -novopt   Force incremental mode (pre-6.0 behavior)
# -L        Search library for design units instantiated from Verilog and for VHDL default component binding
# -L        Search library for design units instantiated from Verilog and for VHDL default component binding
vsim -gui -novopt -L altera_mf work.versatile_mem_ctrl_tb
vsim -gui -novopt -L altera_mf -L lpm work.versatile_mem_ctrl_tb
 
 
# Open waveform viewer
# Open waveform viewer
view wave -title "${DESIGN_NAME}"
view wave -title "${DESIGN_NAME}"
 
 
# Open signal viewer
# Open signal viewer

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.